CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - lcd to

搜索资源列表

  1. Text-shaped-LCD

    0下载:
  2. 文字形LCD Text-shaped LCD #include "HT66F50.h" const unsigned char Table1[] = "Kun" //所要顯示字元 unsigned char DATA_BUS @0x20 //sfr DATA_BUS=0x90 //DATA bus=P1 #define RS PA0 //P35=RS=0指令暫存器,RS=1資料暫存器 #define RW PA1 //P36=RW=1讀取,RW=0寫入
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:12739
    • 提供者:蔡志欣
  1. 11111

    0下载:
  2. 1、用FPGA/CPLD实现HS162字符液晶显示。 2、分析相应的功能要求,分析CPLD与字符液晶HS162的接口典型电路。 3、利用状态机的设计方法,通过指令编程实现对HS162-4液晶模块的读/写操作,以及屏幕和光标的操作。 4、编写模块的Verilog HDL语言的设计程序。 5、在Quartus II软件或其他EDA软件上完成设计和仿真。 -This design of a CPLD-based controls HS162 to achieve character
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1046396
    • 提供者:kevin mk li
  1. the-8255-LCD

    2下载:
  2. 设计一串口通信程序,波特率9600,通过RS232串口自环。自动循环发送数据串(设计在程序中)接收并存储和显示该数据串发送数据内容由键盘输入,每串数据不大于8字节。数据串单次发送由按键启动,接收端显示数据串并存储。可查询、清楚已存数据串-The design of a serial communication program, baud rate 9600, through the RS232 serial ring. Automatic cycle to send data string (d
  3. 所属分类:VHDL编程

    • 发布日期:2013-07-10
    • 文件大小:25387
    • 提供者:gonglingjun
  1. 8051-LCD-Driver

    0下载:
  2. 实验用程序图纸,好用,C51驱动LCD源程序-Experimental procedures drawings, easy to use, C51 drive the LCD source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:11075
    • 提供者:qilingfei
  1. CPUlm3s1627

    0下载:
  2. lm3s1627 cpu 控制lcd液晶显示 调节数字电位计的抽头数目-lm3s1627 cpu control lcd LCD to adjust the number of taps of the digital potentiometer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:647609
    • 提供者:mayanhui
  1. 8.3-LCD

    0下载:
  2. FPGA驱动LCD显示中文字符程序及状态机的使用-FPGA to drive the LCD display Chinese characters procedures and the use of the state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:4916
    • 提供者:林高办
  1. lcd

    0下载:
  2. 实现ICD显示,在ISE8.2运行,芯片为xinlix的virtex4-To achieve ICD display, run in ISE8.2 chip xinlix the virtex4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:785801
    • 提供者:冯丽洋
  1. lcd

    0下载:
  2. verilog语言,该程序用于描述spartan3E开发板上的lcd的显示,对于其他的lcd显示可以从此程序修改并直接运用-verilog language, the program for describe spartan3E development board lcd display for other lcd display, can begin to changes in procedures and the use of direct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:1006
    • 提供者:neal
  1. LCD

    0下载:
  2. lcd12864对学习LCd有一定帮助,适合初学者,欢迎下载学习-lcd12864 on learning the LCd have some help for beginners are welcome to download learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:489011
    • 提供者:zhaorongjian
  1. TFT-LCD

    0下载:
  2. 这是一个介绍tft_lcd显示原理的PPT文件,主要是用verilog语言写出了它的显示过程-This is an introduction to the principles of tft_lcd show PPT file, verilog language write the display process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:4002014
    • 提供者:李宁
  1. lcd

    0下载:
  2. LCD_1602(VHDL)显示自定义字符“贾”,显示通讯状态“send”和“recieve”,状态机,结构简单易读稳定,非常适合初学者。此程序已在altera开发板运行成功。-LCD_1602 (VHDL) custom character " Jia" , the communication status " send" and " recieve the state machine, easy-to-read structure stable, v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:4468538
    • 提供者:jiazhaorong
  1. verilog-HDL--LCD-display-

    0下载:
  2. 用verilog HDL 实现LCD显示-using verilog HDL to LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-31
    • 文件大小:2092
    • 提供者:gx
  1. LCD

    0下载:
  2. 基于VHDL的LCD液晶屏初始化驱动代码-VHDL-based LCD driver code to initialize the LCD screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:500046
    • 提供者:
  1. LCD_Display_kb

    0下载:
  2. This VHDL Code express how can you connect an LCD To FPGA Spartan 3ee
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2866
    • 提供者:Ezrashafiez
  1. TIs-HDMIDVI-to-LVDS-Bridge-solutions

    0下载:
  2. This a brief introduction of TI s HDMI/DVI to LVDS Bridge solutions and an component selection guide. It is good reference for mobilephone or tablet PC system developers who need to develop LCD interface. This document provides an overview of H
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:101962
    • 提供者:jazzclassics
  1. lcd

    0下载:
  2. This source is used to control LCD 16x2 on FPGA board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1218
    • 提供者:tunglinh
  1. lcd

    0下载:
  2. fpga开发板实现lcd1602显示屏显示数字时钟。开发板测试通过-FPGA development board to achieve LCD1602 display digital clock. Through the development board test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3573708
    • 提供者:tangfei
  1. LCD-control-VHDL-program

    0下载:
  2. 这些程序可以帮助学习VHDL语言的人们更好的了解VHDL,并且如何用程序去控制LED.- These programs can help people learn VHDL language better understanding of VHDL, and how to use the program to control the LED.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:9770
    • 提供者:桂婷
  1. lcd

    0下载:
  2. ps2键盘,按键实现 在LCD上显示字符,字母大小写,数字,标点符号都可以显示-ps2 keyboard, the keys to achieve display characters, capitalization, numbers, punctuation marks can be displayed on the LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:472707
    • 提供者:wxl
  1. LCD

    0下载:
  2. 用verilog 实现的液晶屏驱动程序,已多次应用于项目中.经测算,性能非常稳定可靠。-Verilog achieve with LCD driver, it has repeatedly applied to the project. After calculation, the performance is very stable and reliable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3316438
    • 提供者:lupengfei
« 1 2 3 4 56 7 8 9 10 ... 17 »
搜珍网 www.dssz.com