CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - led driver

搜索资源列表

  1. LED点阵

    0下载:
  2. 大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。-big screen led to the dot matrix display driver timing. The use of VHDL descr iption language. Rom which documents can be automatically generated using lpm_megcore.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3887
    • 提供者:王卫
  1. led8x8

    0下载:
  2. 8x8点阵滚动字幕显示驱动 verilog-8x8 dot matrix display driver verilog marquee
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:511166
    • 提供者:李生
  1. matriled

    0下载:
  2. led显示器应用相当广泛,数码管的应用也很广泛,本设计就led驱动,数码管驱动进行了设计,设计中采用VHDL语言,在FPGA上实现了功能方真,在开发板上实现功能。-led driver, led scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:593
    • 提供者:microeric
  1. led7drv

    0下载:
  2. 7段LED驱动器的VHDL语言程序设计源码-7 segment LED driver source VHDL Language Program Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:582
    • 提供者:lalo
  1. myled

    0下载:
  2. 基于NIOSII的led驱动程序,包含FPGA的硬件设计部分和软件设计部分-Based NIOSII' s led driver, the hardware design part contains the FPGA and software design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10050901
    • 提供者:王程刚
  1. CPLD_TEST

    0下载:
  2. LED显示屏动态显示的测试程序,驱动用2个74LS138构成4-16译码器,采用1/16扫描方式。-LED display shows the dynamic test procedure, the driver constitute 4-16 with two 74LS138 decoder, the 1/16 scan mode.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:412484
    • 提供者:cdh
  1. LDO

    0下载:
  2. 收集的9篇关于LDO的研究生学位论文 1、LDO线性恒流型高亮度LED驱动的研究与设计 2、大电流_高稳定性LDO线性电源芯片的设计和实现 3、带有双电子开关的LDO电源管理芯片的设计 4、高精度_低噪声LDO线性调整器的设计 5、基于单片DC_DC的LDO设计 6、集成于GPS射频芯片的LDO设计 7、具有LDO模式的电流模同步降压型稳压器芯片XD1112设计 8、利用Verilog_A对LDO_Charg_省略_自动切换电源管理芯片的Top_ 9、一种基于
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-13
    • 文件大小:20734110
    • 提供者:lyy
  1. led

    0下载:
  2. 此书是针对于初学者而写的一本教程,里面对 LED 的各方 面进行了详细的介绍,,让初学者能够在很短的时候了解 LED 相关各种参数指标,LED 驱动,LED 应用的注意事 项等等,此书已经帮助了很多初学者和销售员,对初学者 和销售员来说,是一本入门极好的秘籍。 -This book is written for beginners but a tutorial, which the various aspects of the LED described in detail, s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1324926
    • 提供者:lizhe
  1. cn5611

    0下载:
  2. LED驱动芯片CN5611,宽压输放,电流达1.2A。是LED照明方案佳选之一。-LED Driver IC CN5611, wide input voltage discharge current up to 1.2A. Is a good selection of LED lighting solutions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:33708
    • 提供者:longshiji
  1. display

    0下载:
  2. 4 x 7-segment LED driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:843
    • 提供者:Max
  1. mahdifza@yahoo.com-mous-vga-and-led-ps2

    0下载:
  2. vhdl mouse ps2 driver show in vga and 20 led and writ in ise7.1(2012)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:328172
    • 提供者:mahdi
  1. tlc1549

    0下载:
  2. 51单片机ad驱动 e2prom驱动 lcd驱动 电子表 电压表程序等 都经过调试可直接调用-E2prom drive 51 single ad-driven spreadsheet voltmeter lcd driver so after a debugging program can be called directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3278
    • 提供者:程驰
  1. led

    0下载:
  2. FPGA 键盘数码管、蜂鸣器的驱动程序,希望对大家有所帮组!-FPGA keyboard LED, buzzer driver, we want to help the group!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1636
    • 提供者:123
  1. LED

    0下载:
  2. 实验名称: SPI接口实险,LED数据管显示。 实验目的: 学习AVR单片机的SPI功能 实验现象: 1、程序通过SPI接口输出数据到HC595芯片驱动LED数据管简单显示。 2、内部1 M晶振,程序采用单任务方式,软件延时。-Experiment name: SPI interface, the real danger, LED data display tube. Experimental purposes: learning the AVR SPI function ex
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:21800
    • 提供者:yang
  1. LED-and-LCD-verilog-hdl

    0下载:
  2. 基于fpga开发电子时钟,显示用到led和lcd,总体设计思路-FPGA realization of research on the LCD display driver s control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:253771
    • 提供者:po
  1. nixietube

    0下载:
  2. FPGA入门教程-----数码管驱动,适合新入门-FPGA Tutorial----- LED driver for the new entry
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1085856
    • 提供者:zhangmingfu
  1. OK6410A-LED-Marquee-Button-Driver

    0下载:
  2. 开发板OK6410A,LED灯和按键驱动程序!-Development board OK6410A, LED lights and buttons driver!
  3. 所属分类:Driver develop

    • 发布日期:2017-11-18
    • 文件大小:130149
    • 提供者:z
  1. lp_mng_top_rtl

    0下载:
  2. FPGA 控制lp_tlc5916 8路芯片LED 驱动控制器的VHDL代码实现。-FPGA lp_tlc5916 8-channel LED driver VHDL code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:3057
    • 提供者:murongyu
  1. LedDriverVersion4

    0下载:
  2. led灯的驱动,实现了跑马灯闪烁等功能。-led driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:494248
    • 提供者:陈鹤天
  1. ledverilog

    0下载:
  2. FPGA简单应用,VHDL程序,LED驱动程序,供学习参考。-FPGA and simple application, VHDL program, LED driver, provide the reference for the study.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:162232
    • 提供者:浮云之上
« 12 »
搜珍网 www.dssz.com