CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - led light

搜索资源列表

  1. led

    0下载:
  2. FPGA基本入门教程-LED灯实验,适合新手入门-Basic Tutorial FPGA-LED light experiment for Getting Started
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1149790
    • 提供者:zhangmingfu
  1. EP2C-SOURCE_CODE

    1下载:
  2. 有關於EP2C的一些程序(EX:I2C,FLASH,IRDA,MUSIC,LED,LIGHT,SRAM,UART,PS2,SPI )-EP2C on some of the procedures (EX: I2C, FLASH, IRDA, MUSIC, LED, LIGHT, SRAM, UART, PS2, SPI)
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-01
    • 文件大小:2994600
    • 提供者:鄧志明
  1. lift

    0下载:
  2. 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 -Design a controller, eight-story buildings, escalators, moving elevator with eight LED display process, and a digital display where the floor lift the current loc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:77165
    • 提供者:zhaorongjian
  1. lightflu

    0下载:
  2. 用Verilog写的一个流水灯程序,实现8位led灯循环点亮!-Written with a flowing light Verilog program, to achieve 8 led light cycle light!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:277048
    • 提供者:ye
  1. led

    0下载:
  2. 适用于FPGA初学者,一个流水灯的程序,用VERILOG语言写的.-Applicable to FPGA beginners, a procedure for light water, using the Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:218067
    • 提供者:
  1. led

    0下载:
  2. FPGA实现led 流水灯,时间较长,循环闪烁,效果十分漂亮-led light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:610
    • 提供者:蔡浩聪
  1. sensortest

    0下载:
  2. 光线传感器测量 LED会显示环境光的大小,用手挡住光线 传感器,LED的显示值会相应减小。-Light sensors measure the ambient light LED will show the size, hands blocking the light sensor, LED display the value of a corresponding decrease.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:191378
    • 提供者:panda
  1. Pwmleddim

    0下载:
  2. This PWM Coltrolled Led Light Processing Alogothim we are sometimes nead a Led bight that is controlled with PWM and I Use this Algoritm to make various Led Light source -This is PWM Coltrolled Led Light Processing Alogothim we are s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2841
    • 提供者:jeong
  1. led

    0下载:
  2. Verilog 流水灯程序 这个可以作为初级入门练习使用,产生感性认识!-Verilog flow light program that can be used as the primary entry to practice, resulting in perceptual knowledge!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:312274
    • 提供者:xiaoming
  1. LED

    0下载:
  2. 按下列顺序无限循环移动彩灯显示电路,LED灯总数为8个,具体循环次序如下:1.D7,D3,其余灭,右移三次后全灭 2。D4,D0亮,其余灭,左移三次后全灭 3。D3,D4亮,其余灭,各向两边移三次后全灭 4,D7,D0亮,其余灭,各向中间移三次后全灭 -----上述灯移动的间隔为0.5s-In the following order infinite loop mobile lantern display circuit, LED lights total of 8, th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:445438
    • 提供者:xizi
  1. FPGAshiyan(7)

    0下载:
  2. FPGA入门系列实验教程——实验七按键控制LED亮灭-Getting Started with FPGA tutorial series of experiments- experiments seven keys to control LED light off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:418498
    • 提供者:lutangshi
  1. not-to-LED

    0下载:
  2. 利用非门点亮一个LED灯,非常适合初学者,是利用verilog HDL语言编写的-Use of non-door light a LED light, ideal for beginners, is to use verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:219175
    • 提供者:范范
  1. LED-flash

    0下载:
  2. LED灯中断的方式闪烁,可以实现令LED灯一中断方式闪烁-LED light flashes interrupt the way, can make an interrupt flashing LED lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:9130
    • 提供者:
  1. LED

    0下载:
  2. FPGA程序 libero环境下运行 实验例程开发板LED灯轮流点亮,内附说明文档-FPGA programming environment to run experiments libero routine development board LED light is lit in turn, included documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2557301
    • 提供者:ddm
  1. led-streaming

    0下载:
  2. verilog实现led流水灯,板子上验证正确性-verilog implementation led light water, the board verify the correctness
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:349914
    • 提供者:飞天
  1. led-coruscate

    0下载:
  2. 用fpga控制4路led流水灯,实现跑马灯等功能-4-way LED light water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3050918
    • 提供者:李林
  1. led

    0下载:
  2. 点亮EP2C5Q208C8N的LED流水灯,并实现流水灯的效果-To light EP2C5Q208C8N the LED light water, and water light effect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:363732
    • 提供者:yunyifeng
  1. LED-LIGHT

    0下载:
  2. FPGA verilog LED点灯 使用的是Xinlix SPARTAN-3E 的处理器-FPGA verilog LED light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-05
    • 文件大小:58346
    • 提供者:吴晨炜
  1. led-fiash

    0下载:
  2. 可以在xilinx上进行仿真,并且下载到开发板上实现LED发光管的操作,并且可以根据程序调整发光的顺序以及快慢-Xilinx simulation, and downloaded to the development board to achieve the operation of the LED light tube, and can be adjusted according to the procedures in the order as well as the speed of th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:674
    • 提供者:沈攀
  1. LED

    0下载:
  2. 控制led发光的Verilog代码,实现流水灯的效果。-Control led light Verilog code, to achieve the effect of light water.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:2603
    • 提供者:武磊
« 12 3 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com