CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - led toggle

搜索资源列表

  1. Encoder4_2

    0下载:
  2. Encoder4_2,带优先级的编码器 此实验完成但优先级的4-2编码,以拨动开关SW[3..0]作为输入源(开关上拨时输入为高电平),其中SW[3]的优先级高于SW[2]的优先级,SW[2]的优先级高于SW[1]的优先级,以此类推。编码的结果会以LED灯的形式显示。例如,当SW[2]上拨而SW[3]没有上拨时,LED[1..0]的显示结果将是“10”。-Encoder4_2, with a priority encoder to complete this experiment, but
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:214304
    • 提供者:王晨
  1. SEG_static

    0下载:
  2. SEG_static ,7段数码管译码及静态显示 此实验主要实现7段数码管(共阴极)的译码。拨动开关SW[3..0]代表输入的16进制数,译码电路将此16进制数转译成数码管上的段码,并静态地显示出来。-SEG_static, 7 segment LED decoder and the main achievement of this experiment the static display 7 segment LED (common cathode) decoding. Toggle swit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:241206
    • 提供者:王晨
  1. sw_led

    0下载:
  2. _sw_led 拨动开关控制LED-_sw_led toggle switch controls the LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:557
    • 提供者:chen
  1. exp5

    1下载:
  2. 本实验要求完成的任务是在时钟信号的作用下,通过输入八位的拨动开关输入不同的数据,改变分频比,使输出端口输出不同频率的时钟信号,达到数控分频的效果。在实验中时,用八个拨动开关做为数据的输入,当八个拨动开关置为一个二进制数时,在输出端口输出对应频率的时钟信号,用户可以用示波器观察输出频率的变化,也可以使输出端口接LED灯来观察频率的变化。在此实验中我们把输出接入LED灯。-This experiment required to complete the task is the role of the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:324050
    • 提供者:真三战魂
  1. exp9

    0下载:
  2. 本实验要完成的任务是设计一个四位二进制全加器。具体的实验过程就是利用实验系统上的拨动开关模块的SW17~SW14作为一个加数X输入,SW13~SW10作为另一个加数Y输入,用LED模块的LEDG0~LEDG4来作为结果S输出,LED亮表示输出‘1’,LED灭表示输出‘0’。-To complete the task of the experiment is to design a four bit binary full adder. The specific experimental proc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:580
    • 提供者:真三战魂
  1. SRAM

    0下载:
  2. DE2-35 SRAM简单读写VHDL源码,可以通过开发板上拨动开关输入数据,在LED上显示读写情况-DE2-35 SRAM to read and write simple VHDL source code, can input data through the development board to toggle switch, display to read and write in LED.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:223791
    • 提供者:ft
  1. digital_clock

    0下载:
  2. 本实验设计一个能够显示时、分、秒的数字时钟,时间在七段数码管上显示,显示数字为十进制数。通过开发板上的按键调整数字时钟的时间,分别用四个按键来控制分、时的增减,对于分、时的调整只影响本位,不产生进位或借位。各按键及数码管的功能要求如表1 所示。需要特别说明,因为开发板数码管的显示位宽不够,因此,通过一个开关进行切换选择(如:开,显示时分;关,显示分秒)。-When this experiment to design a display hours, minutes, seconds, digit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2442
    • 提供者:刘旭
  1. shumaguan

    0下载:
  2. 七段数码管驱动,在DE2开发板上通过拨动开关输入数字,在数码管中显示-Seven-segment LED driver, the DE2 board to enter numbers by toggle switch in the digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:286815
    • 提供者:李西平
  1. clock

    0下载:
  2. 用VHDL 语言设计数字钟,实现在数码管上显示分钟和秒,并且可以手动调节分钟, 实现分钟的增或者减。该设计包括以下几个部分: (1)分频电路的设计,产生1Hz 的时钟信号,作为秒计时脉冲; (2)手动调节电路,包括“时增”“时减”“分增”“分减”。 (3)时分秒计时电路。 (4)7 段数码管显示电路。 将 SW1 和SW2 初始状态均置为高电平。拨动开关SW1 到低,分钟进行加计数,秒停 止计数,当计数到59 时,从00 开始重新加计数,将SW1 拨动到高时,在当前状
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:495748
    • 提供者:panda
搜珍网 www.dssz.com