CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - m sequences

搜索资源列表

  1. Matlab-m-sequence-generator

    0下载:
  2. 介绍m序列和教你如何利用matlab进行编译m序列-Introduction of m-sequences and teach you how to use the matlab compiled m-sequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-01
    • 文件大小:2885717
    • 提供者:kean
  1. signal

    0下载:
  2. EP2C5Q208C8 verilog 产生m序列 50M晶振分频得到时钟,可以选择10种时钟- -!-EP2C5Q208C8 verilog 50M m-sequences generated by dividing the crystal clock, you can choose from 10 clock--!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1041591
    • 提供者:
  1. jiarao4

    1下载:
  2. 加扰与解扰,VHDL实现。初始寄存器值为1产生的m序列。-Scrambling and descrambling, VHDL. Initial register value 1 of the m-sequences generated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:1853999
    • 提供者:杨超
  1. scramble_m_que

    0下载:
  2. 产生19级m序列,实现加扰和解扰的全过程。-19 m sequences, the scrambling process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:303751
    • 提供者:杨超
  1. mPsequences

    0下载:
  2. m序列信号发生,用verilog编写,在fpga上可实现-m sequences
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:271927
    • 提供者:catherine zhang
  1. m_sequence

    1下载:
  2. 基于fpga verilog语言生成的m序列。(Generating m sequences based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:3045763
    • 提供者:Wujinlin
  1. m-test

    0下载:
  2. 产生小m序列,用于扩频系统中,仿真测试正确,反馈级数为4(Generating m sequences)
  3. 所属分类:VHDL/FPGA/Verilog

搜珍网 www.dssz.com