CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - on screen keyboard

搜索资源列表

  1. S7_PS2_LCD

    0下载:
  2. 1、ps/2键盘输入,通过led显示ascii码 2、稍等1s可以在lcd上显示输入的字符 3、其中键盘上的backspce键是用来清屏的 4、当lcd上显示满字符时,在按下按键自动清屏,从第一行显示。-1, ps/2 keyboard input, through the led display ascii code 2, wait 1s can input characters lcd display 3, in which key on the keyboard is used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:656452
    • 提供者:shi
  1. S7_PS2_LCD

    0下载:
  2. 1、ps/2键盘输入,通过led显示ascii码 2、稍等1s可以在lcd上显示输入的字符 3、其中键盘上的backspce键是用来清屏的 4、当lcd上显示满字符时,在按下按键自动清屏,从第一行显示。-1, ps/2 keyboard input, through the led display ascii code 2, wait for 1s in the input character lcd display 3, in which key on the keyboard i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:857690
    • 提供者:陈亮
  1. S9_PS2_LCD

    0下载:
  2. 1、ps/2键盘输入,通过led显示ascii码 2、稍等1s可以在lcd上显示输入的字符 3、其中键盘上的backspce键是用来清屏的 4、当lcd上显示满字符时,在按下按键自动清屏,从第一行显示。-1, ps/2 keyboard input, through the led display ascii code 2, wait for 1s in the input character lcd display 3, in which key on the keyboard i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:9414
    • 提供者:袁方
  1. S7_PS2_LCD

    0下载:
  2. 1、ps/2键盘输入,通过led显示ascii码 2、稍等1s可以在lcd上显示输入的字符 3、其中键盘上的backspce键是用来清屏的 4、当lcd上显示满字符时,在按下按键自动清屏,从第一行显示。-1, ps/2 keyboard input, through the led display ascii code 2, wait for 1s in the input character lcd display 3, in which key on the keyboard i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:138686
    • 提供者:成语
  1. DE2_TV

    0下载:
  2. DE2开发板,VGA显示乐谱,键盘可操作写乐谱.-display a music score via VGA and you can write the notes on the screen one by one with a PS2 keyboard on DE2.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5330899
    • 提供者:hdm
  1. ss868_FallingSandGame

    0下载:
  2. DE2上,掉落个各种介质的一款游戏,玩家通过操纵键盘来画上挡板,屏幕会落下各种介质的材料,它们会显示出真实的物理特性.-On the DE2, falling a game of various media, players by manipulating the keyboard to draw on the bezel, the screen will fall a variety of media materials, they will show the true physical pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:77962
    • 提供者:hdm
  1. pld_Tetris

    0下载:
  2. 基于FPGA cyclone III EP3C16F484C6的俄罗斯方块游戏。实现双人进行,屏幕倒置,分数显示,vga接口,键盘接口等功能-Tetris game based on FPGA cyclone III EP3C16F484C6 with functions including double players, screen upside down, score, vga and keyboard interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:641317
    • 提供者:陈诗雨
  1. jsq

    0下载:
  2. 基于spartan—3E 开发板的一个PS/2键盘主机键盘的双键盘输入的带语音功能了计算器,通过VGA显示在电脑屏幕上,-Spartan-3E development board a PS/2 keyboard host keyboard keyboard with voice input function calculator via the VGA display on a computer screen,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:5778407
    • 提供者:hexingliang
  1. S7_PS2_LCD

    0下载:
  2. 1、ps/2键盘输入,通过led显示ascii码 2、稍等1s可以在lcd上显示输入的字符 3、其中键盘上的backspce键是用来清屏的 4、当lcd上显示满字符时,在按下按键自动清屏,从第一行显示。-1, ps/2 keyboard input, through the led display ascii code 2, wait 1s in the lcd display input characters, of which the the keyboard on backsp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:605676
    • 提供者:丁俊辉
  1. Snack

    0下载:
  2. 用Verilog HDL实现贪吃蛇游戏。Ps2键盘输入,在电脑显示屏输出。-Snake game using Verilog HDL. The Ps2 keyboard input on the computer screen output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-01
    • 文件大小:4163992
    • 提供者:Frank Chen
  1. PS2

    0下载:
  2. PS2键盘 在xilinx开发板上的液晶屏上显示键盘上的按键(需要使用字模转换)-PS2 keyboard keys on the keyboard displayed on the LCD screen xilinx development board (requires the use of matrix conversion)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3358567
    • 提供者:luxzen
  1. vending_machine

    0下载:
  2. 基于FPGA开发板NEXYS3的自动售货机,并利用VGA原理显示在LCD屏幕,采用键盘进行购买和支付-Based on the FPGA development board NEXYS3 vending machine, and use the principle of VGA display on the LCD screen, using the keyboard to purchase and payment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:17964877
    • 提供者:黄志宇
搜珍网 www.dssz.com