CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - phase comparator

搜索资源列表

  1. fifo_01

    0下载:
  2. 8位相等比较器,比较8位数是否相等 -- 8-bit Identity Comparator -- uses 1993 std VHDL -- download from www.pld.com.cn & www.fpga.com.cn-eight other phase comparators, Comparing the same whether the median 8 -- 8-bit Identity Comparator -- uses 1993 std VHDL --
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1268
    • 提供者:罗兰
  1. VHDL

    0下载:
  2. 8位相等比较器含源代码,用VHDL语言编写,具体很高的实用性,供读者参考-8, phase comparator, such as with the source code, using VHDL language, the specific relevance of a high for the reader is referred to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:884
    • 提供者:lijinling
  1. VHDL-

    0下载:
  2. 8位相等比较器,布斯乘法器,以为寄存器的VHDL实现-Eight for phase comparator, Booth multiplier, that registers of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2404
    • 提供者:刘珊
搜珍网 www.dssz.com