CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - quartus

搜索资源列表

  1. traffic-light-vhdl-Quartus-II6.0

    0下载:
  2. 简单的交通灯vhdl程序 Quartus II6.0下的程序 包含图形仿真-easy traffic light vhdl Quartus II6.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:208133
    • 提供者:睿宸
  1. quartus-common-errors

    0下载:
  2. 使用quartus 软件时,可能遇到的错误报告,并对报告进行分析,快速找出bug-When using quartus software, you may encounter an error report, and the report analyzed quickly identify bug
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:25357
    • 提供者:代成
  1. FPGA-PWM-Quartus

    0下载:
  2. 一种基于FPGA产生PWM波的Quartus程序。 包含15分频器、地址译码器、带死区的PWM发生器、计数实现的三角波发生器。-An FPGA-based PWM wave generated Quartus program. Contains 15 dividers, address decoders, PWM generator with dead counted achieve triangular wave generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1049621
    • 提供者:叶东林
  1. FPGA-mearly-Quartus

    0下载:
  2. 基于FPGA的状态机程序例程设计。使用的是Mearly型状态机。通过此状态及设计过程来熟悉在Quartus中状态机的设计方法-FPGA based state machine routine design. Using Mearly type state machine. With this status, and the design process to become familiar with the design method in the state machine in Quartu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:261520
    • 提供者:叶东林
  1. DE2_SD_Card_Audio(quartus-9.0)

    1下载:
  2. 本代码为Altera DE2开发板例程源码(EP2C35F672C6),quartus II 9.0以上版本均可编译(随板光盘为quartus II 7.2版在9.0以上版本上编译会报错)。本工程实现SD的音频播放器,即通过FPGA控制SD卡,读取SD的音频文件,通过WM8731进行播放。-In this demonstration we show how to implement an SD Card Music Player on the DE2 board, in which th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10077775
    • 提供者:chenxin
  1. quartus-II

    1下载:
  2. 用Quartus II实现答辩计时器设置,大致功能有时间显示,倒计时提醒,暂停键等。-Quartus II realized by the respondent timer settings, roughly the time display function, countdown reminder, the pause button and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-06-25
    • 文件大小:485376
    • 提供者:边松林
  1. Quartus-II-Handbook

    0下载:
  2. Quartus II的handbook中英文对照,对掌握quartus有很大帮助。-Quartus II faced, in both Chinese and English are of great help to grasp the Quartus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9450752
    • 提供者:姚盛健
  1. Quartus-II-11.0.208-SP1-Altera-Complete-Design-Su

    0下载:
  2. Altera Quartus 12.1 Software Patch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:723388
    • 提供者:Anwar
  1. VHD-L-QUARTUS--Counter

    0下载:
  2. 基于QUARTUS软件的VHDL语言开发,文件中含有VHDL语言设计的分频器,加法减法计数器,并生成有原理图,只要有QUARTUS软件即可仿真运行。-VHDL QUARTUS Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11118607
    • 提供者:STAR LEE
  1. 1

    0下载:
  2. 一触即发 好玩的效果,基于quartus平台编写(This is a course work, showing some interesting results, welcome to download the exchange)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:602112
    • 提供者:1101
  1. CNTlum

    0下载:
  2. 使用Windows7 系统,quartus ii 9.1 软件,Verilog 语言 0到9的计数,并且亮度逐渐增大(count from 0 to 9,and the lum become more and more high)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:1354752
    • 提供者:Eris
  1. Quartus_12.0_x64

    0下载:
  2. quartus 12 "solution"
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:13312
    • 提供者:gustavo
  1. Quartus_12.0_x86

    0下载:
  2. quartus 12 "solution" 2
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:13312
    • 提供者:gustavo
  1. QuartusII91_

    0下载:
  2. quartus 9 "solution"
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:908288
    • 提供者:gustavo
  1. QII_9.1.tar

    0下载:
  2. quartus 9 "solution" 2
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:936210
    • 提供者:gustavo
  1. project2

    0下载:
  2. 基于Verilog在quartus平台上搭建的串口通信模型,适用于初学者。本实验所用RXD的波特率为9600,TXD波特率为9600×16,1位起始位,8位数据位(ASCII码),1位停止位,无奇偶校检位。接收数据时,至少连续采样8个周期都是“0”后,才认定为起始位,之后每隔16个周期取一次数据。(Verilog based on the quartus platform to build a serial communication model, suitable for beginners.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:116736
    • 提供者:锂离子
  1. VHDL程序

    0下载:
  2. 利用QuartusⅡ6.0对所设计的出租车计费器的VHDL代码进行仿真,并在FPGA数字实验系统上实现了该控制。(The Quartus II 6 is used to simulate the VHDL code of the designed taxi billing device, and the control is realized on the FPGA digital experiment system.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. SHA256_SYSTEM

    0下载:
  2. 利用硬件(可编程逻辑器件FPGA)实现密码算法SHA256,在FPGA中嵌入软核NIOSii,在NIOSii上进行软件编程。 硬件EDA工具为ALTERA的Quartus ii,软件IDE为eclipse(嵌在Quartua中)。(The hardware (programmable logic device FPGA) is used to implement the cryptographic algorithm SHA256, and the soft core NIOSii is em
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:4413440
    • 提供者:风@筝
  1. DE3_usermanual

    0下载:
  2. Terasic de3 for intel quartus ii manual
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:11254784
    • 提供者:jasdf
  1. HEX2MIF

    0下载:
  2. QUARTUS II SRAM/ROM初始化需要的HEX文件与Keil产生的HEX格式不同;该Modelsim程序,将Keil产生的Hex转换成,Quartus可以是识别的MIF格式;(The QUARTUS II SRAM/ROM initialization needs HEX files which are different from those generated by Keil. The Modelsim program converts Hex generated by Keil
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:1024
    • 提供者:MCUMaster
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com