CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - random

搜索资源列表

  1. div_n

    0下载:
  2. verilog占空比50奇偶任意 奇偶任意分频器!包括测试代码-verilog random duty cycle of 50 odd parity arbitrary divider! Including test code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:744
    • 提供者:龚俊杰
  1. weisuijitu

    0下载:
  2. 伪随机图生成程序,包括时钟频率的合成、分别以比特和字节方式生成伪随机图模块。-Pseudo-random graph generation procedures, including the clock frequency synthesis means bits and bytes, respectively pseudo-random graph generation module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3771
    • 提供者:赵童
  1. yinyue

    0下载:
  2. 音频播放器 音频播放器可播放三首不同的歌曲,可实现,上一首,下一首,随机播放等功能,需和硬件相连接-Audio player audio player can play three different songs, can be realized, on the one, next, random play functions, and hardware required to connect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:361318
    • 提供者:wujun
  1. noise

    1下载:
  2. 随机噪声产生代码。所输出的随机噪声可以用于模拟信道中的加性噪声。-Random noise generated code. The output of the random noise can be used to simulate the channel additive noise.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-08
    • 文件大小:1024
    • 提供者:simulin_2008
  1. RAM

    0下载:
  2. The files attached include the excuted output files for the access of Random Access Memeory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:256793
    • 提供者:Syed Shafi
  1. ROM

    0下载:
  2. 本代码实现的是生成随机数的verilog 代码。可在ModelSim中仿真-The code is the verilog code to generate random numbers. In the simulation in the ModelSim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1331
    • 提供者:kmao
  1. LCD1602

    0下载:
  2. 写的一个用lcd1602的随机数发生器,用的语言为Verilog,工具是Quartus II软件。-Write a random number generator with lcd1602, the language used for the Verilog, Quartus II software tool.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2398105
    • 提供者:无名
  1. RNG

    0下载:
  2. True random generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:653
    • 提供者:yuvi
  1. Quartus

    1下载:
  2. Quartus程序是滤波器+功率检测+相关计算+TDD时隙切换,从滤波输出的过采样信号中随机指定输出其中的一路信号输出用来做功率检测和相关计算,相关计算完全采用串行计算比较的方式得到最大值,然后根据这个最大值的位置推算出上、下行时隙的切换点位置。-Filter+ Quartus program is related to computing power detection++ TDD time slot switch, from the filtered output signal over a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:46477
    • 提供者:郑志龙
  1. ram

    0下载:
  2. vhdl program for random access memory and sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1029
    • 提供者:swap
  1. eeprom1

    0下载:
  2. nios II下EEPROM程序设计,EEPROM采用24LC04,包括读写程序,读程序包括随机读,当前读,连续读。写包括随机写,页写。-nios II under the EEPROM programming, EEPROM with 24LC04, including literacy programs, reading programs, including random read, the current reading, continuous reading. Write includ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:142570
    • 提供者:余安富
  1. PN4

    0下载:
  2. 语言:VHDL 功能:该PN4序列的特点为将一个4位序列的前两位取异或,再让序列左移一位,用异或的结果作为序列的最后一位。序列周期是15,即15位伪随机序列。其中包括序列的产生模块和检测模块。对于误码检测,首先捕获相位。然后,规定测试的码的总个数,统计这些码中有多少个不能满足PN序列特点的,用计数器统计个数。如果发现误码过多,可能是相位失调,重新捕获相位,再进行误码检测。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4429
    • 提供者:huangjiaju
  1. interlace

    0下载:
  2. 根据MATLAB中的伪随机交织器产生的交织图案初始化到ROM中,从ROM中读取交织图案对输入数据进行交织。同时也可根据解交织图案进行解交织,同样的算法。-In accordance with MATLAB generated pseudo-random interleaver initialization pattern woven into the ROM, read from the ROM interwoven interwoven pattern of input data. Can a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1216
    • 提供者:源天
  1. sram_8_8

    0下载:
  2. 该程序实现8*8位的静态随机存储器功能,已通过仿真验证,程序运行无误。-The program realization of 8* 8-bit static random access memory function, has been verified by simulation, the program runs correctly.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:144720
    • 提供者:chenzhuaixia
  1. pseudo-randomcodegenerator

    0下载:
  2. VERILOG语言编写的伪随机码产生器,可以ISE中编绎调试-VERILOG language of pseudo-random code generator, you can unravel ISE in debugging code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:868646
    • 提供者:xiangxj
  1. prbs

    0下载:
  2. 伪随机二进制序列发生器的Verilog源码,带测试文件,并在FPGA开发板上成功验证-Pseudo-random binary sequence generator Verilog source code, with a test file, and successfully verified in FPGA development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:50491392
    • 提供者:wang
  1. dianzhen(ok)

    0下载:
  2. 驱动8*8点阵块显示汉字,可以自己根据要显示的内容随意更改,已通过验证。-Blocks of 8* 8 dot matrix drive display Chinese characters, you can display the content according to their random changes, has been verified.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:261172
    • 提供者:yangxiao
  1. shift_register

    0下载:
  2. It is noise generator.it is a linear feedback 16 shift-registe where the bits 15,14,12,3 are fed back via xor gates.make random signal close to real noise
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:482275
    • 提供者:sa
  1. randon_numder_generator

    0下载:
  2. random number generator it generate random number continousely on clk pulse
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:2606
    • 提供者:swapnil
  1. Writing-Testbenches-using-System-Verilog.tar

    0下载:
  2. Writing Testbenches Using SystemVerilog offers a clear blueprint of a verification process that aims for first-time success using the SystemVerilog language. From simulators to source management tools, from specification to functional coverage, from
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2774778
    • 提供者:ynona
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com