CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - random

搜索资源列表

  1. prbs

    1下载:
  2. 高速并行数据伪随机化模块,包括发送侧的随机化和接收侧的去随机化,以及测试模块-High-speed parallel pseudo-random data modules, including randomized and receive side of sending side to randomization, and the test module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:9069
    • 提供者:wangxin
  1. sramright

    0下载:
  2. 控制SRAM的读写; 向SRAM中写入12个数据,这12个数据由助教随机给出; 当按下4×3键盘上的按键时,读出对应的数据,并显示在七段数码管上; SRAM为16位,用16进制表示为4位字符,对应4个七段数码管; -Control SRAM read and write write to the SRAM 12 data, the 12 random data is given by the TA when you press the 4 × 3 keys on the ke
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1605
    • 提供者:李峰
  1. VHDL-simple-examples

    1下载:
  2. 上传的几个VHDL程序:分别是各种功能计数器;使用列举类型的状态机,四D触发器,通用寄存器,伪随机比特发生器,简单的状态机。-Upload several VHDL program: are the various functions of the counter using the enumerated type state machine, four D flip-flop, the general-purpose registers, pseudo-random bit generato
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:5013
    • 提供者:张俊
  1. src_gen

    1下载:
  2. 使用VHDL语言产生m序列,用于通信系统的随机信源-To generate m sequence with HHDL,whcih is used as random source in communication system
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-08
    • 文件大小:514
    • 提供者:陈国庆
  1. Verilog

    0下载:
  2. 本程序使用verilog语言实现了对伪随机序列的曼彻斯特编码-This program uses the verilog language to achieve the Manchester encoding of the pseudo-random sequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:460435
    • 提供者:许鑫
  1. lfsr

    0下载:
  2. the LFSR is coded in VHDL, using a structural descr iption, which is instantiated as a separate component in the top-level design. Then we can get a random number by a pseudorandom number generator based on a linear feedback shift register (LFS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1725
    • 提供者:宋臣
  1. Sum_of_2_rand

    0下载:
  2. We produce two 5-bit random numbers and then adds them. The two random numbers are generated by pressing two different push-buttons on the lab board. The addition is controlled by a third button, button3. it can be implemented on the Atlys board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:2382
    • 提供者:宋臣
  1. game

    0下载:
  2. VHDL写的猜数字程序,首先随机出四位数字,依次猜测,给出几A几B作为参考(A是位置数字都对的,B是数字对位置不对的)-VHDL the write Caishuozi program, first four-digit random guess in turn given a few A few B as a reference (A position numbers are right, B is a number on the wrong place)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:465220
    • 提供者:linda
  1. 使用循环进行数组排序

    1下载:
  2. 利用labview编写程序: 4、使用循环进行数组排序 要求:可以多次操作,直到点退出按钮。 5. 产生一个3×3的整数随机数数组,随机数要在0到100之间,然后找出数组的鞍点,即该位置上的元素在该行上最大,在该列上最小,也可能没有鞍点。如下图所示: 要求:加上数组元素数设置以及redo按钮重新取值计算 -Labview programming: 4, using a loop array sorting requirements: multiple operati
  3. 所属分类:VHDL编程

    • 发布日期:2017-10-30
    • 文件大小:44667
    • 提供者:Haibin Zhang
  1. 利用簇模拟汽车控制

    5下载:
  2. 利用labview编程: 6. 利用簇模拟汽车控制,如右图所示,控制面板可以对显示面板中的参量进行控制。油门控制转速,转速=油门*100,档位控制时速,时速=档位*40,油量随VI运行时间减少。 注意:档位为整数,油量减少速度与档位有关。 7.1 利用随机数发生器仿真一个0到5V的采样信号,每200ms采一个点,共采集50个点,采集完后一次性显示在Waveform Graph上。 7.2 在上题的基础上再增加1路电压信号采集,此路电压信号的范围为5到10V,采样间
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-21
    • 文件大小:36382
    • 提供者:Haibin Zhang
  1. 定时采 集温度值

    1下载:
  2. 利用labview编程: 8、将7题中的X轴改为时间轴显示,要求时间轴能真实的反映采样时间。想想为什么与上题的显示结果截然不同? 9、创建头文件,向文件添加采样数据。 内容:创建一个VI,产生头文件,再使用 For 循环定时采 集温度值,并将每次采样时间及温度值以ASCII格式添加到文 件中。 注意:温度值可用随机数+80来生成。-Labview programming: 8, 7 X-axis title to the timeline timeline t
  3. 所属分类:VHDL编程

    • 发布日期:2017-11-11
    • 文件大小:43230
    • 提供者:Haibin Zhang
  1. RAM-Module

    0下载:
  2. Random Access Memory Module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:157520
    • 提供者:Praveen Andrew
  1. FPGA--SDRAM

    0下载:
  2. SDRAM:Synchronous Dynamic Random Access Memory- 同步动态随机存储器,同步是指 Memory工作需要同步时钟,内部的命令的发送与数据的传输都以它为基准;动态是指存储阵列需要不断的刷新来保证数据不丢失;随机是指数据不是线性依次存储,而是自由指定地址进行数据读写。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:19928206
    • 提供者:官雄辉
  1. jiance1

    0下载:
  2. 3异或条件输出 周期的伪随机数生成器伪随机数 -The XOR output cycle pseudo-random number generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:611
    • 提供者:陈治斌
  1. MFSG

    0下载:
  2. 实现一个可变速率的伪随机码发生器。通过拨码开关实现模式选择,选择的模式会通过数码管显示出来,同时不同的模式对应不同码速率的伪随机码。-To achieve a variable rate of the pseudo-random code generator. Mode selection via DIP switch, the mode selected by the digital display, and different modes correspond to different co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:3412751
    • 提供者:余冰雁
  1. data_gen

    0下载:
  2. 产生随机的prbs序列。用于receiver的测试。误码率的测试等待-Generates random prbs sequence. For receiver testing. BER test wait
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:816
    • 提供者:lexie
  1. tanshishe

    0下载:
  2. 贪食蛇游戏。分模块编写,包含按键防抖模块,分频模块,随机数模块,点阵显示模块,数码管显示模块,控制模块。-Prepared by the sub-module contains button image stabilization module, frequency module, random number module, dot matrix display module, digital display module, the control module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:2511255
    • 提供者:刘振东
  1. mps-

    0下载:
  2. 1. 伪随机序列概述 在扩展频谱通信系统中,伪随机序列起着十分关键的作用。在直接序列扩频系统得发射端,伪随机序列将信息序列的频谱扩展,在接收端,伪随机序列将扩频信号恢复为窄带信号,进而完成信息的接收。 -1. The pseudo-random sequence Overview, pseudo-random sequence plays a very crucial role in the spread spectrum communication system. Obtained i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:282454
    • 提供者:吴胜兵
  1. prbs

    0下载:
  2. 伪二进制随机码的产生,在fpga上已经验证-Pseudo-random binary code generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:1084
    • 提供者:zhangheng
  1. keshe

    0下载:
  2. 也许是一个比较有用的数码骰子。。经过随机数然后进行数码管显示-Perhaps a more useful digital dice. . After a random number and then the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:23731
    • 提供者:wang
« 1 2 3 4 5 6 78 9 10 »
搜珍网 www.dssz.com