CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - shaking vhdl

搜索资源列表

  1. FPGAprogram1

    0下载:
  2. 常用键盘消抖模块——VHDL源程序!!!对vhdl编程的人具有很大的帮助,不可不看 -common keyboard Consumers shaking module -- VHDL source! ! ! Right VHDL programming of great help, I can not s
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2518
    • 提供者:许嘉
  1. key_xiaodou

    0下载:
  2. 该资料是用vhdl编写的按键消抖程序,按键消抖在使用按键的数字电路中非常重要,如果不对按键信号进行处理,有可能会出现大量错误的按键信号。文件key_xd.vhd是按键消抖程序,文件key_xd.vwf是仿真波形文件。该程序已经通过仿真测试,并且在电路板上调试通过,效果理想。-The information is written in the key consumer vhdl shaking procedures, key consumer shaking in digital circuits
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:253078
    • 提供者:lwj
  1. ketflink_fsm

    0下载:
  2. VERILOG的按键去抖,采用状态机的实现方法-VERILOG shaking the keys to using a state machine implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1381
    • 提供者:雍振强
  1. example6

    0下载:
  2. 使用 key1 和 key2 来控制数据的加减,通过显示可以看出数据的变化。key1是控制数据加,key2 是控制数据减。可以从 0~9 显示。其中有按键消抖的方法-Key1 and key2 to control the use of the data increases or decreases can be seen by showing the data changes. key1 is to control the data increases, key2 is to control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:27255
    • 提供者:panda
  1. 111

    0下载:
  2. 用vhdl实现按键消抖 和 用vhdl写pwm-Vhdl implementation with shaking and the use of key consumer written pwm vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:575
    • 提供者:王正
  1. button-controled-state-machine

    0下载:
  2. VHDL编的按键去抖,可以实现对目前的显示取反,即1、0、1、0 变换。-VHDL code of the key to shaking, can negate the current display, ie 1,0,1,0 transformation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:228515
    • 提供者:lucy
  1. xiaodou

    0下载:
  2. vhdl键盘输入消抖动的一点源代码,希望能够共享一下-vhdl Keyboard input away shaking
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3404
    • 提供者:事实上
  1. key_add

    0下载:
  2. 应用FPGA中VHDL语言编写键盘消抖程序-Application of VHDL language preparation FPGA keyboard away shaking program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:201932
    • 提供者:xiaoyu
  1. key_xiaodou

    0下载:
  2. 这是消除抖动源代码的关键,适合刚刚学习vhdl的新手,按键消抖是需要掌握的一课-This is the key to eliminate shaking the source code, suitable for just learning vhdl novice, key to eliminate shaking is a lesson in the need to master
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3308676
    • 提供者:李子轩
  1. key_debounce

    0下载:
  2. 按键消抖操作,采用计数延时20ms的方式实现按键消抖,防止出现误按,VHDL和verilog-Button shaking operation, the use of counting delay 20ms way to achieve the key to shake, to prevent the error, VHDL and verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:2209
    • 提供者:d232
搜珍网 www.dssz.com