CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - use matlab

搜索资源列表

  1. mdct.tar

    1下载:
  2. 这是06年4月刚刚完成的程序,从opencore.org下载而来。用vhdl语言描写,以及matlab仿真,testbench,以及在xinlinx上的综合。 The MDCT core is two dimensional discrete cosine transform implementation designed for use in compression systems like JPEG. Architecture is based on parallel distribut
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1767014
    • 提供者:陈朋
  1. PSKmoudel

    0下载:
  2. matlab下,使用dspbuilder实现的psk调制模块的源码-Matlab, the use of dspbuilder realized psk modulation source module
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18806
    • 提供者:孙昱
  1. ASKmoudel

    0下载:
  2. matlab下,使用dspbuilder实现的ask调制模块的源码-Matlab, the use of dspbuilder realized ask modulation source module
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:128027
    • 提供者:孙昱
  1. comple_mult

    0下载:
  2. matlab下,使用dspbuilder实现的复数乘法器模块的源码-Matlab, the use of the plural dspbuilder achieve multiplier module FOSS
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13404
    • 提供者:孙昱
  1. MATLAB_sg_IP.rar

    0下载:
  2. 使用MATLAB为System Generator for DSP创建IP,The use of MATLAB for System Generator for DSP to create IP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:39953
    • 提供者:lxd
  1. FSK_work

    0下载:
  2. 自己做的基于MATLAB DSP BUILDER的FSK,里面的内容都在,整个工程-MY FSK,have cost much time。please use it carefully。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:713512
    • 提供者:朱铭进
  1. FPGA_DSP_using_matlab

    1下载:
  2. 这是一个使用matlab语言来实现FPGA的DSP算法的例子。主要是针对xilinx的FPGA芯片。这是一种比较新的编程方法,让matlab工程师也能快速的进行硬件编程。-This is a language to use matlab to implement FPGA-DSP algorithm for example. Mainly aimed at xilinx FPGA-chip. This is a relatively new programming method, so that
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-24
    • 文件大小:532711
    • 提供者:Martin
  1. 196947shizilu

    0下载:
  2. 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-The realization of the use of VHDL crossroads traffic lights controller design for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:29298
    • 提供者:uptownman
  1. Matlab-m-sequence-generator

    0下载:
  2. 介绍m序列和教你如何利用matlab进行编译m序列-Introduction of m-sequences and teach you how to use the matlab compiled m-sequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-01
    • 文件大小:2885717
    • 提供者:kean
  1. tdoa123

    0下载:
  2. Position location services will not only provide new customer options and products for wireless carriers, but will also provide features that could di erentiate services in di erent markets (i.e., di erentiation between PCS, cellular, and special
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:829759
    • 提供者:vijay
  1. DDC_Ver1.0

    2下载:
  2. 数字下变频(DDC)在如今基于软件无线电的架构中对系统的整体性能决定性的影响,代码为基于Matlab的4通道DDC程序,程序中可以根据需要调节滤波器等参数评估DDC的性能对于使用FPGA实现DDC有较大的参考价值-Digital down conversion (DDC) in today' s architecture based on software radio system a decisive impact on the overall performance of the code
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-17
    • 文件大小:2260
    • 提供者:易星
  1. EDA

    0下载:
  2. EDA 程序,使用软件是MUXPLUSSII -EDA, the use of software is MUXPLUSSII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:164703
    • 提供者:smith
  1. DSP_Builder_user

    0下载:
  2. dsp_builder使用方法 教你如何利用matlab和quartus交互使用定制dsp-dsp_builder teach you how to use matlab and dsp quartus interactive use of customized
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6014475
    • 提供者:王程序
  1. Simulink-to-VHDL-Route

    0下载:
  2. This paper presents the way of speeding up the route from the oretical design with Simulink/Matlab, via behavioral simulation in fixed-point arithmetic to the implementation on either FPGA or custom silicon. This has been achieved by porting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:147926
    • 提供者:jack
  1. fibonacci_matlab_verilog

    0下载:
  2. 使用Matlab和Verilog实现fibonacci序列,包括源代码和testbench-use matlab and verilog to realize fibonacci sequence,including source code and testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:228931
    • 提供者:fc
  1. fir_lowpass

    0下载:
  2. 硬件语言实现数字低通滤波器,使用ise11.1和modelsim se6.5 仿真测试-Hardware language digital low pass filter, the use of simulation testing ise11.1 and modelsim se6.5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:546058
    • 提供者:linzi
  1. Matlab-IIR

    0下载:
  2. 数字滤波器是数字信号处理的重要环节,数字滤波器可分为IIR和FIR两大类。本文介绍了IIR和FIR的基本设计原理以及在MATLAB环境下如何利用直接程序设计法、SPTOOL设计法和FDATOOL设计法给出IIR和FIR数字滤波器的设计方法和操作步骤,并给出设计设计实例及运行结果,同时利用MATLAB环境下的仿真软件SIMULINK对所设计的滤波器进行模拟仿真,仿真结果表示设计参数设置合理。-The important aspect of the digital filter is a digit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:809417
    • 提供者:王策
  1. MATLAB

    0下载:
  2. 用matlab生成mif文件,分别用两种不同方法,操作简单,实验好用-Mif file generated using matlab, respectively, with two different methods, simple operation, easy to use test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:128086
    • 提供者:mr zou
  1. tpjpr

    0下载:
  2. Use matlab intelligent predictive control algorithm, MIT Artificial Intelligence Laboratory identification of the target source, Undergraduate complete set requirements refer to the standard test models.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:6144
    • 提供者:gingkouhen
  1. xapp794

    1下载:
  2. 里面分为八个实验,一步一步教你使用system genertor for dsp 生成能供vivado使用的IP核文件。(It is divided into eight experiments, which teach you to use system genertor for DSP step by step to generate IP core files that can be used for vivado.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:41696256
    • 提供者:锤子1998
« 12 3 »
搜珍网 www.dssz.com