CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - utility

搜索资源列表

  1. VHDL.rar

    0下载:
  2. 教你在Quartus II中如何实用LPM库,对与FPGA系统设计有很好指导作用,Teach you how to Quartus II in the LPM utility library, with the FPGA system design have a very good guide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:351934
    • 提供者:钟桂东
  1. UART

    0下载:
  2. 自己实用Verilog编写的UART程序,1位开始位,8位数据位,1位停止位,本测试程序配置完管脚后,实用串口大师发送数据,则返回数据为发送数据+1-Verilog prepared their own UART practical procedures to start a bit, 8 data bits, 1 stop bit, the test procedure End pin configuration, the utility serial Master to send data,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:254213
    • 提供者:张键
  1. NcVerilog_tutorial

    0下载:
  2. nc verilog 的使用说明和实例,对于实用nc来进行仿真进行了详细说明。-nc verilog instructions and examples for the utility to carry out simulation nc described in detail.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:592062
    • 提供者:李林
  1. SYNTHPIC.ZIP

    0下载:
  2. The Synthetic PIC Verion 1.1 This a VHDL synthesizable model of a simple PIC 16C5x microcontroller. It is not, and is not intended as, a high fidelity circuit simulation. This package includes the following files. Note that the licen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:48670
    • 提供者:likui
  1. xap4004v

    0下载:
  2. xilinx的FTP上下载的实用文件,CXSB16可用于FPGA编程-xilinx the FTP utility to download files, CXSB16 can be used for FPGA programming ~ ~ ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:350238
    • 提供者:cherry
  1. DE2_Default

    0下载:
  2. 自己编程的采用verilog语言实现的关于altera的DE2-70开发板的一个实用程序,实现的是自动售货机的找零功能-Own programming language used on the altera verilog the DE2-70 development board of a utility, to achieve the change for vending machines function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8799973
    • 提供者:舒念
  1. Oscilloscope

    0下载:
  2. The design is designed partly in VHDL, partly in schematic drawings and targets a Xilinx Spartan-2E FPGA. However, since the design was tailored specifically for the aforementioned boards it is highly unlikely that it can be ported to other hardware.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1854488
    • 提供者:sami
  1. RS_coder

    0下载:
  2. 基于verilog的RS编码器 绝对实用-Based on the RS encoder verilog absolute utility
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:178665
    • 提供者:
  1. S1_12864lcd

    0下载:
  2. FPGA实用程序,测试lcd12864,开发环境为Quartus II 8.0 (32-Bit),已经测试ok,供大家参考学习-FPGA utility, test lcd12864, development environment for the Quartus II 8.0 (32-Bit), has been tested ok, for your reference learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:373607
    • 提供者:陆泉
  1. S8_SETPMOTO

    0下载:
  2. FPGA实用程序,测试步进电机,开发环境为Quartus II 8.0 (32-Bit),已经测试ok,供大家参考学习-FPGA utility, the test motor, development environment for the Quartus II 8.0 (32-Bit), has been tested ok, for your reference learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:389746
    • 提供者:陆泉
  1. S5_KEY2LED

    0下载:
  2. FPGA实用程序,测试key与led,开发环境为Quartus II 8.0 (32-Bit),已经测试ok,供大家参考学习-FPGA utility, test key and the led, the development environment for the Quartus II 8.0 (32-Bit), has been tested ok, for your reference learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:201088
    • 提供者:陆泉
  1. UART_DMA

    0下载:
  2. 实用串口与SDRAM控制接口VHDL语言程序代码-Utility serial port and SDRAM control interface VHDL language code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:1009281
    • 提供者:junlee
  1. DataCntrl

    0下载:
  2. 系统由 DataCntrl.vhd 和RS232RefComp.vhd 模块构成。该模块能和PC 机的RS232 终端 (比如PC 机上的串口调试工具程序)以波特率9600 通信。-System consists of DataCntrl.vhd and RS232RefComp.vhd module. The module can and PC-RS232 terminal (such as PC, the serial debugging utility) to 9600 baud co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2375
    • 提供者:
  1. jianpancaomiao

    0下载:
  2. 经过对系统做需求分析,详细功能设计、编码,模块连接,并利用FPGA实现相应的功能,经过波形仿真、下载调试,验证了设计方案的可行性及实现方法的有效性,基本实现了系统的要求。-Microwave controller system is a utility-type system that includes not only the function of simple operation, but also good effect of cook. According to fixed routi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:100201
    • 提供者:仇斌杰
  1. 16550

    0下载:
  2. 16550uart 非常齐全实用 里面包含了所有文件-16550uart very complete utility which contains all the files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2311349
    • 提供者:林星河
  1. Verilog-examples

    0下载:
  2. verilog 例程,白金手册,很多实用例程,加法器,循环编码器-verilog routines, platinum manual, many utility routines, adder, cycle coding and more
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:113245
    • 提供者:艾米丽
  1. S2_LED

    0下载:
  2. FPGA实用程序,测试led,开发环境为Quartus II 8.0 (32-Bit),已经测试ok,供大家参考学习-FPGA utility, the test led, the development environment for the Quartus II 8.0 (32-Bit), has been tested ok, for your reference learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:316069
    • 提供者:陆泉
  1. S6_MUSIC

    0下载:
  2. FPGA实用程序,测试music乐谱,使用PWM驱动蜂鸣器,开发环境为Quartus II 8.0 (32-Bit),已经测试ok,供大家参考学习-FPGA utility to test music score, the use of PWM drives the buzzer, the development environment for the Quartus II 8.0 (32-Bit), has been tested ok, for your reference learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:225760
    • 提供者:陆泉
  1. S3_SEG7DISP

    0下载:
  2. FPGA实用程序,测试LED数码管显示,开发环境为Quartus II 8.0 (32-Bit),已经测试ok,供大家参考学习-FPGA utility to test LED digital display, the development environment for the Quartus II 8.0 (32-Bit), has been tested ok, for your reference learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:333239
    • 提供者:陆泉
  1. DE2_115_Default

    0下载:
  2. ALTERA DE2 115开发板实用例程,默认程序,大量引脚定义,很有参考价值-ALTERA DE2 115 development board utility routines, the default program, a large pin definitions, useful reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:16962193
    • 提供者:Lmx
« 12 »
搜珍网 www.dssz.com