CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - vga显示

搜索资源列表

  1. VGA显示的FPGA实现方法

    0下载:
  2. VGA显示的FPGA实现方法,包括原理和一个小例子。-the application of VGA display with FPGA,include theory and example
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:84953
    • 提供者:王天权
  1. FPGA控制VGA显示(Verilog)

    5下载:
  2. 用FPGA开发板控制VGA显示,以800*600的分辨率,首先在屏幕的正中央依次出现“新”“年”“快”“乐”四个汉字,并分别移动到屏幕的四个角落,接着在屏幕中部从左至右依次出现“Happy New Year”英文字样,然后出现三个由小到大再消失的圆形图标模拟烟花,最后在黑屏中闪烁金星。字体均采用不同颜色,增添喜庆气氛。 本代码是练习VGA控制,ROM调用,时序控制及状态机运用的一个综合实例!
  3. 所属分类:VHDL编程

  1. VGA RefComp

    0下载:
  2. CPLD/FPGA VGA显示资料代码 查VGA时序的可以到http://tinyvga.com/vga-timing 做数字屏的驱动,基于CPLD的,用FPGA的开发板在做,屏的型号AT070TN92
  3. 所属分类:VHDL编程

    • 发布日期:2011-12-05
    • 文件大小:130654
    • 提供者:text108
  1. S8_VGA.VGA显示接口的verilog控制程序

    1下载:
  2. VGA显示接口的verilog控制程序。用于VGA显示器的控制驱动,VGA display interface Verilog control procedures. Control for VGA display driver
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-09
    • 文件大小:1127454
    • 提供者:zl.yin
  1. vga.rar

    1下载:
  2. 最全的FPGA VGA方面的资料及源码. VGA IPcore的Verilog代码 VGA接口设计实例及测试程序 VGA接口设计实例及测试程序(源码) VGA显示源码,FPGA VGA most comprehensive information and source code. VGA IPcore the Verilog code VGA interface design and testing procedures VGA interface design and testing p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2146145
    • 提供者:likufan
  1. vga

    0下载:
  2. VGA的时序及相关代码,通过它可以实现视频的VGA显示-the timing and vhdl code of vga.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:421592
    • 提供者:chenhao
  1. VGA

    0下载:
  2. Xilinx sparten3E VGA显示控制程序-Xilinx sparten3E VDisplay and control procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1247557
    • 提供者:huangfeng
  1. vga

    0下载:
  2. vga显示时序控制,vhdl产生所必需的时序-vga display timing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:70777
    • 提供者:xinxin
  1. Verilog-vga

    0下载:
  2. 基于Verilog的VGA显示汉字、字符的例子以及vga资料-Verilog' s VGA display Chinese characters based on the character of the examples and information vga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1349724
    • 提供者:江平
  1. VGA-VerilogHDL

    0下载:
  2. 用Verilog HDL编写的VGA显示驱动程序-Verilog HDL prepared with VGA display driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:142025
    • 提供者:liping
  1. verilog-VGA

    0下载:
  2. 在FPGA内,实现简单的VGA显示功能。verilog源代码-In the FPGA, the realization of a simple VGA display. verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1737
    • 提供者:niuqs
  1. Multi-networkvideocallsource

    0下载:
  2. 基于DE2的视频电话部分源码,实现了视频图像采集,VGA显示,局域网通讯等功能-DE2-based video telephony part of the source code to achieve the video image capture, VGA display, LAN communications function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:19532
    • 提供者:lizhijie
  1. VGA_v

    1下载:
  2. 基于 FPGA 的VGA显示控制器设计(采用Verilog 语言) 控制VGA显示模块 VGA_HS,VGA_VS1,VGA_BLANK时序的发生器。包括测试程序 采用ALTERA Cyclone II系列芯片EP2C8Q208C8N芯片测试成功。-module VGA(CLK_50,RST_N,VGA_HS,VGA_VS1,VGA_BLANK, VGA_CLK,VGA_SYNC,VGA_R,VGA_G,VGA_B) input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:520333
    • 提供者:林锦鸿
  1. VGA

    0下载:
  2. Verilog代码可移植到FPGA上,利用VGA显示图像,适合初学者使用。-Verilog code can be ported to FPGA, using VGA display images, suitable for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1432
    • 提供者:victor
  1. VGA

    0下载:
  2. 用FPGA驱动VGA显示器并控制VGA显示部分俄罗斯方块以及横条、竖条、棋盘格等-Driving with FPGA VGA VGA display and control the display part of the Russian box and bar, vertical bar, checkerboard, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1187730
    • 提供者:Haifengqingfu
  1. verilog-vga

    1下载:
  2. Verilgo编写的VGA显示接口示例程序, 在显示器上显示矩形彩条, 包含Quartus II 8.1工程文件及VGA的相当资料(PDF及WORD文档)-Verilgo prepared VGA display interface sample program, the color of the rectangle on the display, including the Quartus II 8.1 project file and VGA considerable data (PDF a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1771292
    • 提供者:Joseph
  1. vga

    0下载:
  2. VGA显示控制:时序控制+像素点的颜色处理显示十字光标(vorilog)-VGA Display Control: Timing Control+ pixel color processing and display cross cursor (vorilog)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:723
    • 提供者:111111
  1. VGA

    0下载:
  2. 基于VHDL语言的VGA显示控制程序,可以在1440x900分辨率的显示器上显示-Based on VHDL VGA display control program in 1440x900 resolution display on the monitor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1642
    • 提供者:王雷
  1. vga

    0下载:
  2. verilog通过VGA显示一个汉字,800X600@72Hz-verilog character through a VGA display, 800X600 @ 72Hz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:7333
    • 提供者:刘欣
  1. LCD-VGA

    0下载:
  2. 基于VHDL语言的vga显示代码,可使用FPGA实现功能-vga for fpga
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-26
    • 文件大小:490598
    • 提供者:Sorame
« 12 3 4 5 6 7 8 9 10 ... 33 »
搜珍网 www.dssz.com