CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - vhdl eeprom

搜索资源列表

  1. wb_i2c_tb

    0下载:
  2. 模拟I2C EEPROM的VHDL代码。如常见的24c02等。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8245
    • 提供者:zif zhu
  1. i2cEEPROM.rar

    0下载:
  2. 使用VHDL编写的操作EEPROM来控制iic的读写操作,很方便,Use VHDL to prepare the operation to control the IIC EEPROM read and write operation, it is convenient
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:985320
    • 提供者:熊云川
  1. EEPROM_RD_WR.rar

    0下载:
  2. 本程序包含:EEPROM的功能模型(eeprom.v)、读/写EEPROM的verilog HDL 行为模块(eeprom_wr.v)、信号产生模块(signal.v)和顶层模块(top.v) ,这样可以有一个完整的EEPROM的控制模块和测试文件,本文件通过测试。,This procedure includes: EEPROM of the functional model (eeprom.v), read/write EEPROM acts of verilog HDL modules (e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:109517
    • 提供者:
  1. EEPROMVerilog-HDL

    0下载:
  2. EEPROM的Verilog HDL源代码,代码全-EEPROM of the Verilog HDL source code, code all. . . . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:525717
    • 提供者:tangtso
  1. eeprom

    0下载:
  2. VERILOG实际例程,非常适合初学者学习-VERILOG the actual routine, ideal for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:520715
    • 提供者:王林
  1. EEPROM

    0下载:
  2. VHDL语言写的IIC实现EEPROM,很好的程序,已经用过,没有问题-Written in VHDL language IIC achieve EEPROM, good procedures are used, there is no problem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1050268
    • 提供者:云川
  1. i2c

    4下载:
  2. IIC 接口EEPROM 存取实验(verilog实现) 按动开发板键盘某个键 CPLD 将拨码开关的数据写入EEPROM 的某个地址,按动另 外一个键,将刚写入的数据读回CPLD,并在数码管上显示。帮助读者掌握I2C 的总线协 议和EEPROM 的读写方法。-verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-14
    • 文件大小:63412
    • 提供者:梁旺
  1. verilog

    1下载:
  2. 通过I2C接口读写EEPROM 在本项目中,我们利用Verilog HDL实现了部分I2C总线功能,并能够通过该总线对AT24C02进行读写操作。为了便于观察读写eeprom的结果,我们将读写的数据同时显示在七段数码管上,并设定读写的数据从0到255不断循环,这样就可以方便进行比较。 -Through the I2C interface to read and write EEPROM in this project, we use Verilog HDL to achieve some o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:8651
    • 提供者:andy
  1. e2prwctrl

    0下载:
  2. EEPROM芯片读写控制器的VHDL语音程序设计-EEPROM chip to read and write controller VHDL Voice program design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1323
    • 提供者:lalo
  1. spi_eeprom_conf

    0下载:
  2. 实现spi接口的传输,并多外接EEPROM读写数据-Spi interface to achieve the transfer, and multiple external EEPROM read and write data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2040
    • 提供者:guoguo
  1. eeprom

    0下载:
  2. EEPROM模块源代码,希望对大家有用,方便交流-EEPROM model
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1788
    • 提供者:zhao
  1. eeprm

    0下载:
  2. verilog编写的EEPROM代码,包括我们最常用的AT24C02/24C04/24C08/24C16-eeprm is a verilog HDL behavioral model for AT24C02/4/8/16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1592
    • 提供者:sam
  1. i2c

    0下载:
  2. 用VHDL写的I2C控制器,可以读写EEPROM,比较经典。-Written with VHDL I2C controller, you can read and write EEPROM, more classic.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3581
    • 提供者:wwww
  1. eeprom

    0下载:
  2. 夏宇闻书中例子eeprom,还可以 eeprom-XIA Wen book example eeprom, you can eeprom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5318
    • 提供者:tongjiajia
  1. i2c-eeprom-code

    0下载:
  2. inter integrated circuit eeprom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:100828
    • 提供者:Ramanathan.SP.
  1. IICComponent

    0下载:
  2. IIC的vhdl实现,用ISE12.1建的项目,读取eeprom的接口代码-using FPGA to communicate with the EEPROM through IIC connector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:652975
    • 提供者:一笑
  1. eeprom-model

    0下载:
  2. 基于fpga的eeprom设计,适合用于eeprom的仿真-eeprom model based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:590978
    • 提供者:
  1. module-Temperature

    0下载:
  2. DS18B20引脚功能 GND地,DQ数据总线,VDD电源电压 18B20共有三种形式的存储器资源,它们分别是: ROM 只读存储器,用于存放DS18B20ID编码,其前八位是单线系列编码,后面48位是芯片唯一的序列号,最后8位是以上56位的CRC码。DS18B20共64位ROM RAM 数据暂存器,数据掉电后丢失,共9个字节,每个字节8位,第1、2个字节是温度转换后的数据值信息,EEPROM 非易失性记忆体,用于存放长期需要保存的数据,上下限温度报警值和校验数据
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:9244
    • 提供者:袁亚楠
  1. I2C_EPM3128(v1.00)

    0下载:
  2. 本程序是使用 VHDL 语言开发的, 能够实现ALTERA CPLD-EPM3128A 通过I2C总线对EEPROM的读写。-This program is developed using VHDL language can of ALTERA CPLD-EPM3128A through the I2C bus EEPROM read and write.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:409891
    • 提供者:cheng guanghui
  1. cpld-EEPROM

    0下载:
  2. 这是一个用cpld清除eeprom的程序,用的是VHDL语言写的-This is a cpld clear eeprom program is to write VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1898105
    • 提供者:张浩
« 12 »
搜珍网 www.dssz.com