CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - water

搜索资源列表

  1. LED

    0下载:
  2. 控制led发光的Verilog代码,实现流水灯的效果。-Control led light Verilog code, to achieve the effect of light water.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:2603
    • 提供者:武磊
  1. fpgalsd

    0下载:
  2. 流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。-Light water is a string according to certain rules like water continuously shining light water control is an application of the programmable c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:29994
    • 提供者:陆超
  1. led

    0下载:
  2. 流水灯,就是利用verilog语言实现一个简单的流水灯,主要是让大家勒戒一下结构-the led is flow water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:570
    • 提供者:顾客
  1. liushuideng

    0下载:
  2. 基于verilog语言,cpld实现的流水灯的程序代码-Based on verilog language, cpld achieve light water code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:205322
    • 提供者:Zhouyang
  1. 12-Flashing_LED

    0下载:
  2. 采用低级建模方式编写的任意可控流水灯程序,程序本身不难,主要是描述建模思想供大家学习-Using low-level modeling approach to the preparation of any controllable light water program, the program itself is not difficult, mainly descr iptive modeling idea for everyone to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:2603
    • 提供者:xueyuan
  1. wled

    0下载:
  2. verilog流水灯设计开发,已经经过验证的。-verilog water lamp design and development, has been proven.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:396020
    • 提供者:zr
  1. Lab5.5_Led_FPGA

    0下载:
  2. 流水灯的详细代码,并且在开发板上运行通过-Light water detailed code, and run through the development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:9622
    • 提供者:tianming
  1. qiangdaqi_stable_version

    0下载:
  2. 多功能抢答器,包括时钟分频模块,数码管显示模块,ps2键盘模块,流水灯模块等-Multifunction Responder, including clock divider module, the digital display module, ps2 keyboard module, water lights module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3001419
    • 提供者:谢渊
  1. liushuideng

    0下载:
  2. fpga开发板 变速流水灯vhdl语言汇编-fpga development board assembly vhdl language shift light water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:105786
    • 提供者:putian
  1. LED

    0下载:
  2. xilinx V6板卡上的根据时钟的LED流水灯程序,包括chipscope的时序提取模块,已在在V6上验证通过-xilinx V6 under the clock on the board LED light water procedures, including the timing chipscope extraction module has been verified through on the V6
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1525034
    • 提供者:于慧斌
  1. FPGA_NIOS_liushuideng

    0下载:
  2. 基于FPGA的NIOS处理器实现简单的流水灯功能-NIOS processor FPGA-based realization of a simple light water feature
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:498778
    • 提供者:wtw
  1. automatic_washing_machine

    0下载:
  2. 自动洗衣机控制器,为不同的洗衣阶段设置不同的时间。全自动洗衣机有9个工作状态:空闲(idle),第一次加水(water1),洗涤(wash),第一次排水(drain1),第二次加水(water2),漂洗(rinse),第二次排水(drein2),甩干(dry),响起音乐(music)。-Automatic washing machine controller , for the different washing stages of setting different times. Automa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:542074
    • 提供者:x5675602
  1. 01.Anvyl_SW_LED_Demo

    0下载:
  2. spartan6led流水灯,实现开发板的led灯流水显示,给初学者用的-spartan6led water lights, led lights to achieve water development board display, use for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:416040
    • 提供者:黄杰
  1. led

    0下载:
  2. 嵌入式系统nios下流水灯程序,运行流畅-Nios embedded systems under water lights, running smoothly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19407632
    • 提供者:李娇
  1. zsjk

    0下载:
  2. 可以根据不同的注水要求,灵活预置不同的注水时间,实时监控和动态直观显示当前的注水时间信息,当注水完成时,提供远程报警功能。-According to different water requirements, flexibility preset different injection time, real-time monitoring and dynamic visual display of the current injection time information, when the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:916
    • 提供者:reder
  1. led2

    0下载:
  2. 流水灯,实现了简单的花样变化,便于初级学习使用-Light water to achieve a simple change in the pattern, easy to learn to use primary
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:282143
    • 提供者:pan Quinn
  1. LED_Test

    0下载:
  2. 利用VerilogHDL在quartus ii下编写的简易流水灯实验,采用的是顺序执行的方式!-Use in quartus ii VerilogHDL summary prepared under light water experiment, using sequential way!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:411646
    • 提供者:guojunwen
  1. flash-led

    0下载:
  2. 利用verilog语言实现fpga硬件下一个简单的流水灯试验,三只灯实现流水操作,一只实现闪烁操作,非常好的入门参考经典实例-Language verilog fpga hardware utilization under a simple light water experiment, three lights to achieve pipelined to achieve a flashing operation, a very good introductory reference to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:121279
    • 提供者:havi
  1. led_8

    0下载:
  2. xilinx的basys2板子上的程序,8个流水灯程序,8个led依次点亮,间隔0.1s-The basys2 xilinx board on the program, eight water lights, 8 led turn light, interval 0.1s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:234666
    • 提供者:d
  1. 03_led_water

    0下载:
  2. 用Verilog HDL语言编写流水灯八种颜色依次显示-Use Verilog HDL language running water light eight kinds of color display in turn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:341042
    • 提供者:张文瑞
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 17 18 »
搜珍网 www.dssz.com