CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 其他嵌入式/单片机内容 搜索资源 - VHDL 正弦波

搜索资源列表

  1. VHDL

    0下载:
  2. 能够产生方波、三角波、正弦波、及阶梯波,并且可以通过选择开关选择相应的频率输出和波形输出;通过按键确定输出的波形及确定是否输出波形.-Able to generate square wave, triangle wave, sine wave, and wave ladder, and can select the appropriate choice of switching frequency output and the waveform output through the keys
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-09
    • 文件大小:418131
    • 提供者:刘镜安
  1. LIA

    0下载:
  2. 该vhdl代码用两个rom模拟产生两路正弦波,并设计了一个乘法器将两路正弦波相乘。-The two vhdl code with two rom analog sine wave and design a multiplier to multiply two sine wave.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-20
    • 文件大小:689041
    • 提供者:haoboy
  1. sin

    0下载:
  2. 这是一个基于vhdl编写的正弦信号发生器,实现的功能为发生正弦波,给dac 0832采样-This is a sine signal generator based on VHDL code, realize the function of sine wave, give dac 0832 samples
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-26
    • 文件大小:318542
    • 提供者:薛冰
搜珍网 www.dssz.com