CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 行业应用软件 搜索资源 - 自动程序单

搜索资源列表

  1. Appjxc

    0下载:
  2. 医药进销存管理系统 第1章 系统登录 1.1 系统登录 (1)双击医药进销存管理系统图标 ,系统自动运行医药进销存管理系统自启动界面,如图1.1所示。 图1.1 医药进销存管理系统自启动界面 (2)进入登录界面,如图1.2所示,在用户名处输入“mr”,在“用户口令”及“重复口令”处输入“mrsoft”,单击“系统登录”按钮,进入程序主界面,如图1.3所示。
  3. 所属分类:医药行业

    • 发布日期:2008-10-13
    • 文件大小:7853244
    • 提供者:Gavin Fung
  1. AppGdzc

    0下载:
  2. 资产设备管理系统 第1章 系统登录 1.1 系统登录 (1)双击资产设备管理系统图标 ,系统自动运行资产设备管理系统自启动界面,如图1.1所示。 图1.1 医药进销存管理系统自启动界面 (2)进入登录界面,如图1.2所示,在用户名处输入“mr”,在“用户口令”及“重复口令”处输入“mrsoft”,单击“系统登录”按钮,进入程序主界面,如图1.3所示。
  3. 所属分类:企业管理(财务/ERP/EIP等)

    • 发布日期:2008-10-13
    • 文件大小:46694628
    • 提供者:Gavin Fung
  1. zicekaoshi

    0下载:
  2. 自测考试系统,包括单选、多选、判断、程序填空等,可自动评分。
  3. 所属分类:教育/学校应用

    • 发布日期:2008-10-13
    • 文件大小:540602
    • 提供者:刘亭亭
  1. duomeitikaoshixitong

    0下载:
  2. 这是一个考试系统,主要实现的题目有单项选择题,多项选择题和判断题。 1.对于每个题都是每次运行本软件的时候自动从题库中随机抽取。 2.在data文件夹下有一个配制文件: a.它可以修改每个类型的题目的数量。 b.它可以修改第个题目的分值。 c.它可以修改考试的限定时间。 3.在交卷后就可以查看,本次考试的得分。并根据本次考试满分的60%做为标准,来判断你是否通过这次考试。 4.后台是一个Access数据库,就可以加入新的题目。并不需要修改原程序。 判断题的
  3. 所属分类:Education soft system

    • 发布日期:2017-05-10
    • 文件大小:2187349
    • 提供者:侯坡
  1. 50

    1下载:
  2. 单戗堤截流图解法计算程序使用帮助 操作步骤: 一:输入分流能力数据文本文件 文件格式为上游水位,下泄流量 请查看示范文件XL.txt 二:选择计算类型 计算类型有单值计算和自动计算 若选择单值计算,则设置龙口口门宽度 若选择自动计算,则设置龙口口门宽度变化范围 三:设置计算参数 这些参数在程序右下部,请根据工程实际进行输入 四:计算及绘图 选择开始计算,计算结果会呈现在程序界面上 计算后选择绘制曲线
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:93795
    • 提供者:维多克
  1. bank_core_system

    1下载:
  2. 银行核心系统,银行综合业务系统,iso8583的97与93自动识别,unix窗口菜单文件编写,勾对处理,报表打印,系统管理,数据维护,台帐管理,系统角色管理,操作员管理,卡类表管理,稽核查询,台帐查询,unix下c语言银行程序。中国北京亚大通讯网络有限责任公司,缺省主菜单为SMenu,打开附加菜单项定义文件。系统柜员签到,多级菜单。卡号检查,收单通知,金卡收单,SAF文件中的信息由系统自动完成。对交易流水表的处理。内部路由模块,根据卡号和交易类型从内存卡段路由、交易路由、缺省路由、转账路由等路由
  3. 所属分类:Finance-Stock software system

    • 发布日期:2017-03-25
    • 文件大小:704034
    • 提供者:
  1. POS

    0下载:
  2. 设计了一个模仿POS收银的程序,把食品按照 编号/名字/价格 的方式存放在预先写好的一个文件里(文本文件或者二进制文件)。给客户买单的时候,输入购买物品的 编号和数量 ,系统自动计算价格和累计价格。最后打印出总价格。付款的时候,营业员输入总付款金额,系统打印找零的数值。 (1) 此外,系统需要显示总的营业额并保存到每日的文件中。 (2) 每个用户的买单的明细,写入到明细文件中。并且要有每单的合计金额。 (3) 输出各种食品当日分别的卖出数量和收费小计 -Design a imit
  3. 所属分类:Finance-Stock software system

    • 发布日期:2017-05-07
    • 文件大小:1985400
    • 提供者:cbf
  1. todoyu_2-3-0

    0下载:
  2. todoyu是一个PHP开源的任务/项目管理系统,时间跟踪和协作开发应用程序。它拥有一个流行的Ajax操作界面,在每个项目上都可以有用户和客户。在todoyu中,项目被分成带子任务的多个里程碑并分配给用户。每个任务发费的时间将被记录。 todoyu 2.3 为所有内容增加了扩展宽度,对表单域进行即时的数据验证,支持SMTP帐号,对过期条目以醒目图标指示,项目表单中的公司名支持自动完成,评论的新外观等等。-todoyu is a PHP open source task/project
  3. 所属分类:Goverment application

    • 发布日期:2017-11-07
    • 文件大小:4998204
    • 提供者:榕树
  1. TGLEA-

    3下载:
  2. EA自动交易程序,自动挂单,无人工24小时,欢迎给意见!(EA automatic transaction procedures, automatic Guadan, no artificial 24 hours, welcome to give advice!)
  3. 所属分类:金融证券系统

    • 发布日期:2017-07-11
    • 文件大小:107520
    • 提供者:野刀
  1. 7个ea程序打包

    8下载:
  2. 运行于MT4平台的自动交易程序,一次一单,回测效果不错,编程思路具有不错的启发性,值得学习。(Run the EA program on the MT4 platform.)
  3. 所属分类:金融证券系统

    • 发布日期:2017-10-30
    • 文件大小:223232
    • 提供者:小呆12
  1. 多货币对对冲2

    3下载:
  2. Blessing致力于对传统的Martingale方法进行某些突破。从其2.5版本中, 我们发现了这种努力的方向:(1)实现资金分散策略。Blessing似乎意识到 Martingale EA将全部资金运用于单种货币的风险,有意设置了将资 金分成部分来管理,一个货币对可以获得其设定的一份资金。比如,如果 10000美金的帐户,如果将其Portion设置为5, 该EA就将其管理的资 金限定在2000美元, 再以此为基础来计算资金管理方式,风险似乎小了很多;(2)进行自动的仓位计算,即在使用资金管理
  3. 所属分类:*行业应用

  1. UQ云商_B2B2C系统

    0下载:
  2. UQCMS云商是一款B2B2C电子商务软件 ,非常适合初创的创业者,个人及中小型企业。程序采用PHP+MYSQL,模板采用smarty模板,二次开发,简单方便,无需学习其他框架就可以自行模板设计。是当前免费版本中,唯一能获取全功能的程序,永久免费使用,操作简单,安全稳定。 一套程序,同时支持PC+WAP+微信三种浏览方式,支持微信公众号。 UQCMS云商安装简单: 支持目前: Linux/Windows + Apache/Nginx + Mysql + PHP 无需安装其他插
  3. 所属分类:其他行业

    • 发布日期:2020-01-01
    • 文件大小:2360204
    • 提供者:ktscn@163.com
  1. 哨兵对冲-EA

    1下载:
  2. 哨兵单币对冲EA 是智能化自动交易程序,它能够24小时捕捉行情,准确判断机会,独有的支撑压力开仓--入场准、斐波那契加仓--浮亏小、盈亏比计算--平仓快。 EA程序将严格执行交易策略,敏感的响应价格变动和趋势变动,避免人工交易出现的失误。(Sentry single currency hedging EA is an intelligent automatic trading program. It can capture the market situation 24 hours a day
  3. 所属分类:金融证券系统

    • 发布日期:2021-03-27
    • 文件大小:40960
    • 提供者:小小小小了
搜珍网 www.dssz.com