CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - 电流采样

搜索资源列表

  1. Batterycharger

    0下载:
  2. 高功率充电机控制程序设计。将输入指令和反馈进行比较,然后进行PI运算,再乘上单位的正弦值就变成输入电流需要跟踪的对象,然后将输入电流采样后和它进行滞回比较,比较后就可以给出开关管的开关信号了-high-power charger design control procedures. Importation of instructions and feedback, then PI operation, multiplied by the flat on the value becomes sin
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2252
    • 提供者:jiaolina
  1. afGHZpY7

    0下载:
  2. 异步电机矢量控制程序,包括坐标变换,电流采样,pi调节等功能,用的是汇编语言
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:11008
    • 提供者:jacson
  1. gdcx

    0下载:
  2. 我做的用lpc2119实现的变电站隔刀动作电流波形采样计算数据上传程序
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:603594
    • 提供者:sq
  1. AVR_SPI_ADC

    0下载:
  2. AVR单片机SPI的串行ADC接口的设计 SPI(SerialPeripheralInterface---串行外设接口)总线系统是一种同步串行外设接口,允许MCU与各种外围设备以串行方式进行通信、数据交换,广泛应用于各种工业控制领域。 MAX187用采样/保持电路和逐位比较寄存器将输入的模拟信号转换为12位的数字信号,其采样/保持电路不需要外接电容。MAX187有2种操作模式:正常模式和休眠模式,将置为低电平进入休眠模式,这时的电流消耗降到10μA以下。置为高电平或悬空进入正常操作模式。
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-30
    • 文件大小:67921
    • 提供者:张同祥
  1. A-differential-method

    0下载:
  2. 利用一次微分法计算电流、电压的幅值、 相位等电量时,只需使用连续的三个采样 值,算法的时间窗为 2Ts-Using a differential method to calculate the current, voltage amplitude, phase and electricity, simply use continuous three sampling value, the algorithm of time window for 2 ts
  3. 所属分类:ELanguage

    • 发布日期:2017-11-19
    • 文件大小:560
    • 提供者:杨旭
  1. ic5.rar

    0下载:
  2. AD8200芯片,是用以电流采集反馈的,精度值非常高,可以双向采样,价钱便宜,AD8200 chip, is used to the current collecting feedback, very high accuracy, can be two-way sampling, cheap
  3. 所属分类:Delphi VCL

    • 发布日期:2017-11-14
    • 文件大小:153414
    • 提供者:li
  1. jiankongjiemian

    0下载:
  2. 对温度电压电流采样的在线监测,并在波形图中做实时曲线图。-Sampling of voltage and current on-line temperature monitoring, and make real-time waveform graph in the figure.
  3. 所属分类:LabView

    • 发布日期:2017-04-29
    • 文件大小:15675
    • 提供者:iloveyou
  1. LABWINDOWSCVI_GPIB

    0下载:
  2. 开发环境LABWINDOWSCVI基于GPIB通信的手机电流测试采样-Phone-based development environment LABWINDOWSCVI current test sample GPIB communication
  3. 所属分类:LabView

    • 发布日期:2017-04-25
    • 文件大小:93662
    • 提供者:许嘉洲
  1. SHU_CX

    0下载:
  2. DSP28335源程序 电流采样模块,初始化及采样计算-DSP28335 source, current sampling module, initialization and sampling calculation
  3. 所属分类:source in ebook

    • 发布日期:2017-04-14
    • 文件大小:2620
    • 提供者:cc
  1. sig-delta-example

    0下载:
  2. 采用串行数据来读取电流采样值,可以抑制电流的干扰,已经用于实际-Serial data read current sampling values, you can suppress interference currents, it has been used for real
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:3045
    • 提供者:5679648
  1. ADC

    0下载:
  2. 永磁同步电机驱动电流AD采样及计算,作为CLARK变换输入(AD sampling and calculate)
  3. 所属分类:书籍源码

    • 发布日期:2018-01-03
    • 文件大小:2048
    • 提供者:mr_han_
搜珍网 www.dssz.com