CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - 15.4

搜索资源列表

  1. lexicalanalysis

    0下载:
  2. 设计一个词法分析器。 【基本要求】 词法分析器基本功能是: (1) 输入源程序:输入PASCAL源程序; (2) 输出单词,输出形式为:(序号,单词,对应源程序行号,对应源程序列号); (3) 输出出错信息,输出形式为:(出错行号,出错列号,出错信息); (4) 输出符号表,输出形式为:(单词种别码,单词符号); 【测试数据】 (1)输入源程序: program example1 var a,b,c:integer x:char
  3. 所属分类:Compiler program

    • 发布日期:2017-03-31
    • 文件大小:7741
    • 提供者:陈小丽
  1. tiny-parse

    1下载:
  2. Syntax of TINY+ An EBNF grammar for TINY+ is as follows (Strings in bold are terminals): 1. program -> declarations stmt-sequence 2. declarations -> decl declarations |² 3. decl -> type-specifi er varlist 4. type-speci
  3. 所属分类:Compiler program

    • 发布日期:2014-12-18
    • 文件大小:1269666
    • 提供者:gavinfeng
  1. lock

    3下载:
  2. 电子密码锁 8086汇编语言编写 内附已编exe文件及编译连接软件masm5.0和link 要求: 实现方案,流程图(20分) 实现密码判断(15分) 实现密码更改(15分) 实现程序正常结束(5分) 实现非软件的准确延时(5分) 输入错误3次后自动结束程序(20分) 根据操作产生的不同结果配以不同的音效(20分) 基本实现前4项要求,再实现其它扩展要求,视难度加分。-8086 key-lock assembly language has bee
  3. 所属分类:assembly language

    • 发布日期:
    • 文件大小:108235
    • 提供者:王钧仟
  1. asm

    0下载:
  2. 24*64点阵屏 64*24点阵LED点阵显示板源代码 1:初始通电时灯泡全亮,必需通过程序运行才能避免。这虽然说无伤大雅,但却也是一个弊端。原因是裸片MCU各个口都是高电平的原因,我在设计时未考虑周到。 2:CD4094穿行数据传输级联,现扫描顺序反了,导致编程稍微困难一些。目前顺序是这样的:一共64列嘛,从8开始的,8-7-6-5-4-3-2-1; 16-15-14-13-12-11-10-9;24-23-22-21-20-19-18-17-24* 64 dot matrix s
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:5528
    • 提供者:125449594
  1. EE

    0下载:
  2. 本人原创,用汇编实现的电子琴演奏程序,本系统内置3-4首歌曲任用户挑选,并可设置高中低3种音。- A music editor, music include pitch (with 1 ~ 7 that bass area, 8 to 14 that the Alto area, said 15 to 21 treble area, the relationship between pitch and frequency, see the annex to this form) and dura
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:1871
    • 提供者:prolove
  1. vclskin5.4andnewskins

    0下载:
  2. delphi皮肤控件全部源码,5.4.0.7.15包含新的皮肤文件。-delphi skin and control all the source code, 5.4.0.7.15 include the new skin file.
  3. 所属分类:Delphi VCL

    • 发布日期:2017-05-15
    • 文件大小:4091111
    • 提供者:保密
  1. BEA_TUXEDO_program_easyly

    0下载:
  2. 概述、编程框架、缓冲区管理(1,2,3,4,5) 应用配置、事务管理、管理命令(11,12,13,14) 七种通讯方式编程( [2,5,]6,7[,10,16]) /WS,/Q, /Domain(15,16,17) 安全性、应用管理编程、事件代理(8,9,10)-Overview, programming framework, buffer management (1,2,3,4,5) application configuration, transaction manageme
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-03
    • 文件大小:157279
    • 提供者:流浪
  1. washer

    1下载:
  2. 基本任务: 1. 洗衣机的工作步骤为洗衣、漂洗和脱水三个过程,工作时间分别为:洗衣 20 秒 漂洗 25 秒,脱水 15 秒; 2. 用一个按键实现洗衣程序的手动选择:A、单洗涤;B、单漂洗;C、单脱水;D 漂洗和脱水;E、洗涤、漂洗和脱水全过程; 3. 用显示器件显示洗衣机的工作状态(洗衣、漂洗和脱水),并倒计时显示每个状 的工作时间,全部过程结束后,应提示使用者; 4. 用一个按键实现暂停洗衣和继续洗衣的控制,暂停后继续洗衣应回到暂停之前保
  3. 所属分类:汇编语言

    • 发布日期:2013-12-06
    • 文件大小:1032041
    • 提供者:fish
  1. Joomla_1.5.17-Stable-Full_Package_zh-CN

    0下载:
  2. Joomla!官方于4月27日发布了1.5.17版本,距上次1.5.16版本的发布只有短短4天时间。因为1.5.16在特殊的安装环境运行时,会有两个重大的BUG。 如果你的Joomla!版本在1.5.15以下,请直接升级到这个版本,而不要再使用1.5.16版本了。 1.5.17语言包相对于1.5.15来说没有做改动,可以继续使用本站提供的《Joomla 1.5.15简体中文语言包》。
  3. 所属分类:Delphi VCL

    • 发布日期:2017-05-22
    • 文件大小:6801258
    • 提供者:feng
  1. cifafenxiqi

    0下载:
  2. 分析输入的源文件串,输出对应的二元组。 各种单词符号及对应的种别码: 单词符号 种别码 单词符号 种别码 begin 1 : 17 if 2 := 18 then 3 < 20 while 4 <> 21 do 5 <= 22 end 6 > 23 letter(letter|digit)* 10 >= 24 digit digit* 11 = 25 + 13 26 - 14 ( 27 * 15 ) 28
  3. 所属分类:Compiler program

    • 发布日期:2017-03-31
    • 文件大小:1317
    • 提供者:chen xiang
  1. 4.15

    0下载:
  2. 汇编程序,实现数字的反转显示,很好用哦,自己编的,大家借鉴-Assembler, the reverse digital display, the good Oh, their series, we learn
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:1177
    • 提供者:胡小兰
  1. xyx

    0下载:
  2. 1ASCII_MAZE = 2+--- --- ----+ 3| | | 4| | +--+ ----+ | | 5| | | | | 6| | +---- | | | 7| | | | E 8+---+ | | | | | 9S | | | | 10+------+--+--+---+ 11 12PATH,START,EXIT,VISITED, SOLUTION = " SE.o" 13 14class Maze():
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-07
    • 文件大小:5888
    • 提供者:文字
  1. 201074835484

    0下载:
  2. 根据“寿星万年历”WEB版,并与作者多次商讨,同意将其改成DElphi版,现发布完整正式版。 解决了1582年10月4日到10月15日的直接跳跃问题。 解决了公元前4710年-公元1年之间的日期问题。 解决了每年24节气时间点问题,均已经从“格林威治平时”转化成“北 京时间”,因太阳历中取数与计算精度问题,可能与国家公开发行的历 书有微小的误差。 -According to " birthday calendar" WEB versio
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-01
    • 文件大小:340349
    • 提供者:
  1. delphi-TXTReader

    0下载:
  2. 功能说明 1、运行于Windows9x、WindowsNT系统上,不必安装,可以直接运行。 2、最大可以打开2MB的文件,最多可以保存50个最新打开的文件。 3、支持TXT、HTML、RTF、GB、ZIP等格式的文件。 4、书本最小化后,以图标的形式存放于任务栏“托盘”中。 5、可以进行BIG5=>GB、GB=>BIG5双向转换,以及GB、BIG5码的自动识别。不需要其它内码转换工具。 6、可以进行编辑。 7、智能分段。 8、行距加倍和全屏显示。
  3. 所属分类:Delphi VCL

    • 发布日期:2017-03-29
    • 文件大小:962885
    • 提供者:
  1. ASM-Program

    0下载:
  2. 1:判断闰年程序 2:产生随机数并计算程序 3:计算平台长度程序 4:加减乘除运算 5:数字方阵程序 6:数据加密程序 7:代码转换程序 8:键盘录入数据的转换与显示 9:音乐演奏 10:显示色彩矩形块 11、12:字符图形程序 13:图形变换 14、15:动画 16:打字练习 17:打字计时练习 18:系统时间显示 19:显示日期时间 20:七段电子表显示 21:简易文本编辑器 22:磁盘文件读写 23:成绩管理 24、25:pc机通信 26:钟表显示 27:霓虹灯模拟显示-1: Determ
  3. 所属分类:assembly language

    • 发布日期:2017-03-28
    • 文件大小:4689
    • 提供者:dandan
  1. VHDL-Keyboard

    0下载:
  2. 设计制作一个检测4*4矩阵键盘的按键编码的实验,把实际按键的键值的八位编码先转换成从0000—1111的编码,再译成数码管能识别的八位编码,在数码管动态显示时,4*4矩阵键盘的第一行对应00—03,第二行对应04—07,第三行08—11,第四行对应12—15。-Design a 4* 4 matrix keyboard key coding experiments to detect the key the actual key octet coded first convert from 00
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-26
    • 文件大小:15746
    • 提供者:zj
  1. 3d4c8def245a

    0下载:
  2. 算法流程 1.将要处理的二进制串进行IP置换 2.将密钥进行PC1置换(64位转为56位), 3.进行16轮操作,对于第i轮操作: 1)将上一次得到的PC1密钥(级除去第一次外,其他的都经过移位处理)的结果左右部分(各28位)分别循环左移1位或2位,然后将移位后的密钥进行PC2[i]置换. 2)将处理串均等分为左右两串Li,Ri(各32位),然后将右串Ri通过E盒拓展为新的右串R (48位),R 与上一步得到的PC2[i]子密钥进行异或
  3. 所属分类:source in ebook

    • 发布日期:2017-11-23
    • 文件大小:2510
    • 提供者:温维山
  1. hehe

    0下载:
  2. 设计一个程序,使之完成下列功能。 要求:(1)完成矩阵转换,输出转换前和转换后的矩阵。 (2)矩阵转换后,计算主对角线数之和,并输出。 1 2 3 4 13 9 5 1 5 6 7 8————》14 10 6 2 9 10 11 12 15 11 7 3 13 14 15 16 16 12 8 4 设计一个程序,将下列10个已知的常数按照从小到大的顺序进行排序,并打印排序的结果。 300,46,78,109,21,70,26,290,166,8,
  3. 所属分类:assembly language

    • 发布日期:2017-11-23
    • 文件大小:11958
    • 提供者:凌珏陌
  1. MSDN98

    0下载:
  2. MSDN字典 1。更新说明和更多的浏览信息(就是首页和Welcome了) 2。.NET的文档 3。Visual Studio的帮助库 4。Office开发者文档 5。嵌入设备开发者文档 6。平台SDK开发文档 7。其他文档(例如新加入的XML&SOAP开发包,Passport开发包,Project2000等等) 8。Windows系列资源包的开发文档(每个平台特性说明和独有特性API说明) 9。知识库 10。技术文章 11。背景知识
  3. 所属分类:source in ebook

    • 发布日期:2017-11-14
    • 文件大小:18475033
    • 提供者:杜紫藤
  1. Clock

    1下载:
  2. clock页面置换算法 用CLOCK置换算法仿真请求分页系统 1、设计目的:用高级语言编写和调试一个内存分配程序,加深对内存分配算法的理解。 2、设计要求: 1) 实现请求分页存储管理方式的页面置换算法:CLOCK算法 2) 内存物理块数固定为15个,对多个作业采用可变分配全局置换的策略分配物理块 3) 作业数量与作业大小(10-20页)可在界面进行设置 4) 所有作业按RR算法进行调度,时间片长度为1秒 5) 可为每个作业随机产生引用的页面串,也
  3. 所属分类:OS Develop

    • 发布日期:2017-11-10
    • 文件大小:3903430
    • 提供者:周涛
« 1 2 34 »
搜珍网 www.dssz.com