CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - AB

搜索资源列表

  1. blackfin

    1下载:
  2. 利用C语言调用汇编子程序 其中5个输入参数和3个返回结果的汇编子程序调用 a) 输入整数a,b,c,d,e, b) 请计算a+b+c,a+c+d+e,a-b的值 c) 讨论a-b后算术状态标志寄存器的状态 (ASTAT) d) 请讨论输入整数数组e[3],f[3],参数如何传递的 本实验要求在Visual DSP++ ADSP-BF535 Blackfin环境中编写一个简单的程序:C语言的主程序调用汇编语言的函数,完成简单的加法、减法。实验重点在于掌握汇编程序
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:35503
    • 提供者:幻叶
  1. ab

    0下载:
  2. 汇编语言实现电子钟, 程序是我几经调试后,并运行成功-it make electric clock system come true,so you can download it if you need.
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1884
    • 提供者:米二
  1. Serial-Comunication.tar

    0下载:
  2. windows系统下汇编语言实现的串口聊天小程序,具有简易的聊天界面,支持聊天记录保存,使用中断及查询方式实现,支持二进制和字符传送。 1. 文件功能描述: 1com1.asm为打开com1通信的源文件 2com2.asm为打开com2通信的源文件 2个源代码除了串口地址不同,其他代码相同 同理1com1.exe 和2com2分别打开com1和com2来通信 2. 二进制传送格式: 当选择菜单二进制传送时(菜单2和3) 发送方
  3. 所属分类:assembly language

    • 发布日期:2017-03-24
    • 文件大小:7505
    • 提供者:潘明
  1. QLDBGrid

    1下载:
  2. QLDBGrid 是DBGrid的功能扩展控件,增加中国式金额栏样式,还有中国式合计栏。很多网站只有DCU格式的文件,没有源代码,我这个可是源代码哦-QLDBGrid Increase the amount of Chinese-style bar style, and the total column Chinese
  3. 所属分类:Delphi VCL

    • 发布日期:2014-04-20
    • 文件大小:19456
    • 提供者:hulonghu
  1. tsg

    0下载:
  2. ocx操作一卡通卡片demo ocx 属性 读卡a b密钥 扇区设置-ocx operating card reader card demo ocx attribute set ab key sectors
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-02
    • 文件大小:364128
    • 提供者:holy
  1. BCD

    0下载:
  2. 按键显示不同的显示变化 需要配置成独立按键 LED1 EQU 21H LED2 EQU 22H LED3 EQU 23H ORG 00H JMP START START:MOV DPTR,#TABLE MOV LED3,#10H 显示 H 符号 MAIN: MOV A,P3 MOV B,#10H DIV AB MOV LED1,A MOV LED2,B- 按键显示不同的显示变化 需要配置成独立按键 LED1 EQU 21H
  3. 所属分类:assembly language

    • 发布日期:2017-11-18
    • 文件大小:1041
    • 提供者:yoauni
  1. control-systerm-ASM

    0下载:
  2. 基于AT89C51的交通控制系统,以ASM为开发环境。 1.AB方向亮绿灯60s,然后黄灯闪烁3次,每次一秒(亮灭各40ms),红灯40s,同时CD方向红灯65s,绿灯35s,黄灯闪烁3s 2.各路灯用LED模拟显示,同时用七段数码管显示两路的倒计时时间 3.利用键盘可修改灯亮时间 4.PC机设置灯亮时间,利用PC与单片机串口通信实现 -AT89C51-based traffic control system to ASM for the development envi
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:12568
    • 提供者:宋雪涛
  1. encode

    0下载:
  2. 在数据加密和数据压缩中常需要对特殊的字符串进行编码。给定的字母表A 由26 个小 写英文字母组成A={a,b,…,z}。该字母表产生的升序字符串是指字符串中字母按照从左到 右出现的次序与字母在字母表中出现的次序相同,且每个字符最多出现1 次。例如, a,b,ab,bc,xyz 等字符串都是升序字符串。对于任意长度不超过6 的升序字符串,迅速计算出它在上述字典中的编码。-In data encryption and data compression is often the need for sp
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:22608
    • 提供者:yefengzhao
  1. Delphi_035868

    0下载:
  2. Delphi:共享一个基于Delphi环境下的IOCP源代码,附上的一个例子,主要参考网上的资料做的一个例子。common 目录为 IOCP的代码,根目录下的是Demo代码.   测试及运行方法:直接运行 test.exe 然后开启服务,运行 custom.bat 执行ab -n 100000 -c 1000 -k http://127.0.0.1:9999/ -Delphi: an example of a shared source code Delphi IOCP-based e
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-17
    • 文件大小:58590
    • 提供者:N0YUB5C
  1. psb24-240

    0下载:
  2. AB plc 源程序 使用安全分析仪器仪表类。可以对所有软件进行分析更改。-PLC code
  3. 所属分类:assembly language

    • 发布日期:2017-05-16
    • 文件大小:3950441
    • 提供者:钱照里
  1. stepper-motor

    0下载:
  2. 步进电机为四相单四拍,8255输出步进电机驱动信号,电机线圈A、B、C、D四相由8255的PC口PC0-PC3控制,逆时针:D→DC→C→CB→B→BA→A→AD→D→…,顺时针:DA→A→AB→B→BC→C→CD→D→DA→… 键盘0~9控制转速,B或C控制方向,F退出 数码管显示S.SPEED- i,i为转速-Four-phase stepper motor is a single four-beat, 8255 stepper motor drive output signal,
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:1265
    • 提供者:ANSILE
  1. jiaotongdeng

    0下载:
  2. 交通灯: 1.交通灯正常状态 a. A红B绿 10秒 b. A红B黄 3秒 c. A绿B红 10秒 d. A黄B红 3秒 2.紧急车辆AB道全红 3.有车道绿,无车道红-Traffic lights:?. A normal state of a A traffic light red green 10 seconds B B b A red green and yellow three seconds c A B d A yellow-red 10 seconds 3 seconds
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:52108
    • 提供者:张志
  1. AB

    0下载:
  2. is it a phase portrait writ with four order algoritm of rung kutte to sole differential equations
  3. 所属分类:Compiler program

    • 发布日期:2017-04-12
    • 文件大小:810
    • 提供者:shippuden
  1. InputAndOutputOfGrammar

    0下载:
  2. 1、了解文法定义的4个部分: G(Vn, Vt, S, P) Vn 文法的非终结符号集合,在实验中用大写的英文字母表示; Vt 文法的终结符号集合,在实验中用小写的英文字母表示; S 开始符号,在实验中是Vn集合中的一个元素; P 产生式,分左部和右部,左部为非终结符号中的一个,右部为终结符号或非终结符号组成的字符串,如S->ab|c 2、根据文法各个部分的性质,设计一个合理的数据结构用来表示文法, 1)若使用C语言编写,则文法可以设计成结构体形式,结构体中应包
  3. 所属分类:Compiler program

    • 发布日期:2017-04-13
    • 文件大小:2067
    • 提供者:忆昔
  1. Test5

    0下载:
  2. 从键盘输入一个4位十进制正整数A和一个2位十进制正整数B。若B为素数,则在屏幕上以十进制输出它们相乘的积,以及A÷B的商和余数-Keyboard input a 4 bit decimal integer A and a 2 bit decimal integer B. If B is a prime number, is on the screen in decimal multiplication output their product, and A/B quotient and rem
  3. 所属分类:assembly language

    • 发布日期:2017-04-30
    • 文件大小:16397
    • 提供者:安沐北
  1. AB3

    0下载:
  2. 只通过移位寄存器来实现AB两个按钮对秒表的单次计时功能和总计时功能两种功能(Only through the shift register to achieve AB two buttons, stopwatch single time function and total timing function of two functions)
  3. 所属分类:LabView编程

    • 发布日期:2017-12-26
    • 文件大小:34816
    • 提供者:linxiyouxing
  1. vtst scripts

    0下载:
  2. Vienna Ab initio Simulation Package (VASP) of Transition State Tools scr ipts (TST), commonly called VTST.
  3. 所属分类:编译器/解释器

    • 发布日期:2021-04-01
    • 文件大小:349184
    • 提供者:Meseret
« 1 2»
搜珍网 www.dssz.com