CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - FPGA 频率计

搜索资源列表

  1. eda

    0下载:
  2. 课程设计要求设计并用FPGA实现一个数字频率计,功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的-Curriculum design to design and FPGA implementation of a digital frequency meter, function: frequency meter. With four shows that will automatically count 7 the results of the metric sys
  3. 所属分类:assembly language

    • 发布日期:2017-05-10
    • 文件大小:2293894
    • 提供者:崔晓进
  1. tst6

    0下载:
  2. FPGA 电子琴音乐演奏器。使用频率计,计算音乐谱的不同发音,用蜂鸣器发音,实现音乐演奏。-FPGA keyboard music player. Frequency meter, calculate the different pronunciation of the musical spectrum, buzzer pronunciation, the music played.
  3. 所属分类:MPI

    • 发布日期:2017-11-26
    • 文件大小:772508
    • 提供者:lee
  1. pinlvji_demo

    0下载:
  2. 基于FPGA简易数字频率计代码(1997年B题)-Based on the FPGA digital frequency meter code (1997 B)
  3. 所属分类:ELanguage

    • 发布日期:2017-05-02
    • 文件大小:680298
    • 提供者:mary
  1. pinlv8

    0下载:
  2. 基于Fpga在DE2上实现八位数码显示频率计-eight sites clock recoder
  3. 所属分类:source in ebook

    • 发布日期:2017-05-11
    • 文件大小:2215268
    • 提供者:jenyu
  1. frequence_meter

    0下载:
  2. 测量信号频率,为2015电赛频率计解决方案(Measure the signal frequency for the 2015 race frequency meter solution)
  3. 所属分类:并行计算

    • 发布日期:2017-12-19
    • 文件大小:11838464
    • 提供者:mk1314
搜珍网 www.dssz.com