搜索资源列表
gsl-1.10.tar
- GNU科学计算函数库GSL(GNU Scientific Library)是一个强大的C/C++数值计算函数库,它是一个自由软件,是GNU项目软件的一个部分,遵循GPL协议。函数库提供了大量的数值计算程序,如随机函数、特殊函数和拟合函数等等。整个函数库大约有1000多个函数,几乎涵盖了科学计算的各个方面。以下是整个函数库的目录: Complex Numbers Roots of Polynomials Special Functions Vectors and Matri
HammingDecoder
- -- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee U
1
- 加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is signal abc: std_logic_vector(0 t
sy1
- 28M分频器 D触发器 jk触发器 library ieee -library ieee use ieee.std_logic_1164.all use ieee.std_logic_arith.all use ieee.std_logic_unsigned.all entity ymq is port(num:in std_logic_vector(3 downto 0) dout:out std_logic_vect
sy4
- D74LS74 JK74ls112. LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY D74LS74 is port(clk,clr,PRE,D:in std_logic QT,QTN:out std_logic) end ENTITY D74LS74 architecture bhv of D74LS74 is signal q,qn:std_logic signal x:std_logic
systemc-2.2.0.tar
- SystemC Class Library (Rel. 2.2.0) TLM模擬硬體功能。 - SystemC Class Library (Rel. 2.2.0) ================================== This is the release of the SystemC 2.2.0 Class Library. -------------------------------------
LIBRARY-IEEE
- 加法计数器的设计 任意进制的计数器设计-LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY sun IS PORT(ENA,CLK_IN,CLR:IN STD_LOGIC Y:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) END sun ARCHITECTURE A OF sun IS SIGNAL CLK:STD_LOGIC SIGNAL TEMP:INTEG
LIBRARY-IEEE
- 六十进制BCD码计数器的源程序,将满60s产生的进位信号选送到分计数器。-sixty source of BCD decimal,carry signal will be generated by the 60s sent to counter
library-ieee
- 3位计数器显示,可以测量1到10Mhz的频率,还可以刷新和保存数据的呢-display 3 numbers
modulation
- 基于FPGA的QPSK调制library ieee use ieee.std_logic_1164.all -FPGA QPSK modulation