CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - VHDL 计数器

搜索资源列表

  1. cnt10

    0下载:
  2. 用VHDL语言编的带有异步清零功能的十进制计数器-using VHDL addendum to the asynchronous reset function with the decimal counter
  3. 所属分类:编辑器/阅读器

    • 发布日期:2008-10-13
    • 文件大小:30560
    • 提供者:yanyuntao
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. vhdl

    0下载:
  2. 3vhdl简单程序设计;4,8-3优先编码器5,3-8译码器;6,6d锁存器;7,数码管扫描显示;8,四位二进制加法计数器-3vhdl simple programming 4,8-3 5,3-8 priority encoder decoder 6,6 d latch 7, the digital scan 8, four binary up counter
  3. 所属分类:Compiler program

    • 发布日期:2017-04-05
    • 文件大小:483123
    • 提供者:绿茶混咖啡
  1. 8weishijinzhijishuqi

    0下载:
  2. 一个简单的8位十进制计数器源代码,VHDL实现编程-A simple 8-bit decimal counter source code, VHDL realization of programming
  3. 所属分类:assembly language

    • 发布日期:2017-04-25
    • 文件大小:235192
    • 提供者:tangchengjiang
  1. counter

    0下载:
  2. 运用VHDL语言实现的,功能是实现可控计数器。-The use of VHDL language, the function is to achieve controllable counter.
  3. 所属分类:MPI

    • 发布日期:2017-04-14
    • 文件大小:5326
    • 提供者:吴伟
  1. jishuqi

    0下载:
  2. 在用VHDL语言描述一个计数器时,如果使用了程序包ieee.std_logic_unsigned,则在描述计数器时就可以使用其中的函数“+”(递增计数)和“-”(递减计数)。假定设计对象是增1计数器并且计数器被说明为向量,则当所有位均为‘1’时,计数器的下一状态将自动变成‘0’。举例来说,假定计数器的值到达“111”是将停止,则在增1之前必须测试计数器的值。 如果计数器被说明为整数类型,则必须有上限值测试。否则,在计数顺值等于7,并且要执行增1操作时,模拟器将指出此时有错误发生 -VHD
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:29696
    • 提供者:卢陶
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. @1069110219

    0下载:
  2. 基于VHDL计数器的设计与分析 基于VHDL计数器的设计与分析-Based on the analysis and design of VHDL counter
  3. 所属分类:source in ebook

    • 发布日期:2017-05-04
    • 文件大小:1311618
    • 提供者:丁浩群
  1. counter

    0下载:
  2. 计数器VHDL,可以用modersim仿真-it is a a a a aa a a a a a a counter VHDL
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:627
    • 提供者:张欣
  1. shuzhizhong(vhdl)

    0下载:
  2. 数字钟设计 计时计数器用24进制计时电路; 可手动校时,能分别进行时、分的校正; 整点报时; 选做:可设置闹时功能,当计时计到预定时间时,扬声器发出闹铃信号,闹铃时间为4s,并可提前终止闹铃。-Digital clock design
  3. 所属分类:assembly language

    • 发布日期:2017-10-31
    • 文件大小:725786
    • 提供者:aaaaa
  1. jjj

    0下载:
  2. 实现了四bit计数器的功能,使用的是VHDL语言描述-Four-bit counter, using the VHDL language descr iption
  3. 所属分类:assembly language

    • 发布日期:2017-11-25
    • 文件大小:132751
    • 提供者:李茜
  1. VHDL

    0下载:
  2. 74LS161 JK触发器带清0端,项目名称为dff_JK_111 十进制计数器74LS290,项目名定为CTLS290:运算方法编写的290计数器:另一种编法LS290 不带使能端的3线8线译码器 八选一数具选择器:用CASE语句 全加器: 简单的JK触发器-74LS161 JK flip-flop with cleared end Project Name dff_JK_111 decade counter 74LS290 project name as CTLS290: and comp
  3. 所属分类:assembly language

    • 发布日期:2017-11-09
    • 文件大小:6772
    • 提供者:Lynn
  1. COUNT0

    0下载:
  2. 用VHDL语言编写的一种24位的计数器,带即时锁存功能,带清零、控制功能。已经经过仿真验证。-A 24 bit counter with the VHDL language, with instant latch function, with clear, control function. Has been verified through simulation.
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-06
    • 文件大小:2531
    • 提供者:孙明杰
  1. VHDL

    0下载:
  2. 本程序包换一个游戏程序和各种功能的计数器和加法器源程序及波形发生器的代码程序,适合初学者使用-This program replacement a game program and a variety of functions counters and adders and waveform generator source code procedures, suitable for beginners
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:2450
    • 提供者:xuliyue
  1. sy

    0下载:
  2. 利用VHDL语言设计的电子数字钟,有时、分钟、秒钟计数器、还有整点报时报警。-Design using VHDL language electronic digital clock, sometimes, minutes, seconds counter, as well as the whole point timekeeping alarm.
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:1980
    • 提供者:关山月
  1. counter_

    0下载:
  2. VHDL源代码+工程,可改变时钟的计数器-VHDL source code+ project, can change the clock counter
  3. 所属分类:assembly language

    • 发布日期:2017-05-09
    • 文件大小:1606862
    • 提供者:曾定坤
  1. traffic_control1

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-06
    • 文件大小:602074
    • 提供者:Cherry_RF
  1. FPGA-Traffic-Light-Controller

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:64454
    • 提供者:Cherry_RF
  1. 数字跑表VHDL

    0下载:
  2. 基于VHDL 实现1小时的数字跑表,包含计数器、数据存储等部分(VHDL realization of digital stopwatch based on 1 hours, including counter, data storage etc.)
  3. 所属分类:中间件编程

    • 发布日期:2018-05-01
    • 文件大小:15360
    • 提供者:zaylee
搜珍网 www.dssz.com