CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - led

搜索资源列表

  1. timer1led

    0下载:
  2. Timer 1 Led blinking code for 8051 microcontroller
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:644
    • 提供者:cgcode
  1. paixu

    0下载:
  2. 一批单字节无符号数,以R0为首地址指针, N为字节数,将这批数进行升序排列-Number of single-byte unsigned number, address pointer led to R0, N is the number of bytes, the number of these in ascending order
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:2213
    • 提供者:gao
  1. shizixitongsheji

    0下载:
  2. 电子时钟设计 设计一个简易时钟,设计内容包括: (1) 使用8051单片机片内定时器设计一个简易时钟。 (2) 使用8位LED对测量结果进行显示 1)确定系统设计方案; 2)进行系统的硬件设计; 3)完成必要的参数计算与元器件选择; 4)完成应用程序设计; 5)进行软硬件调试; (3) 能通过键盘对对时钟 设计内容与设计要求进行实时调整。 (4) 具有闹钟功能-Design to design a simple electronic clock cloc
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:157321
    • 提供者:wuyusen
  1. one

    0下载:
  2. 实验1 两个多位十进制数相加的实验 将两个多位十进制数相加,要求加数和被加数均以ASCII码形式各自顺序存放以DATA1和DATA2为首的5个内存单元中(低位在前),结果送回DATA1处。-Will more than two decimal number together and ask addend and BeiJiaShu are in ASCII form for each order to DATA1 and DATA2 led five memory unit (low in
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:852
    • 提供者:蔡少
  1. Delphi_Demo

    1下载:
  2. LED发布例子,晕就很简单的一个例子啊,为什么要我输入那么多文字。-wo bu dong yingyu
  3. 所属分类:Delphi控件源码

    • 发布日期:2013-05-21
    • 文件大小:1036819
    • 提供者:王承勤
  1. Media-indoor-contol

    0下载:
  2. delphi control tv ,lcd plasma or led thru rs232 port. set hour to power on and power off at diferent day of week.
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-03
    • 文件大小:486373
    • 提供者:antonio Depadua
  1. lab3

    0下载:
  2. 编写程序,将开关的状态读入计算机,并将它通过输出端口驱动发光二级管显示相应的状态。 输入端口74LS244和输出端口74ALS273的地址均为6460H。 -Write a program that will switch the state to read into the computer, and output ports drive LED display the corresponding status. The address of the input port 74L
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:1034
    • 提供者:杨阳
  1. shuzizhong

    0下载:
  2. 1.设计电子钟功能,启,停,设置,修改等;2.编制程序,在点阵液晶显示器上显示开始时间并在显示器上显示; 3.编制程序,在字符LED上准确显示器上准确显示实时时钟;-1 design of electronic clock function, start, stop, settings, modify the program 2, on the dot matrix LCD display starting time and shown on the display Th
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:7664
    • 提供者:方好
  1. TEST13

    0下载:
  2. as2报警器程序,有多重功能,无线的,蜂鸣器,LED-as2 alarm program
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:4879
    • 提供者:PETER
  1. keybd

    0下载:
  2. 利用中断方式响应键盘输入,并识别是哪个键按下。用两组4个LED显示被按下键的的行编号与列编号。-Interrupt in response to keyboard input, and identify which key is pressed. Two sets of four LEDs to display the line number and column number is pressed key.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:775
    • 提供者:luoyong
  1. MCS-51

    0下载:
  2. LED灯的控制,包括顺序、倒序、弹球、亮灭更替等-the control of LED
  3. 所属分类:assembly language

    • 发布日期:2017-05-12
    • 文件大小:2614926
    • 提供者:piaoxue
  1. simplepiano

    0下载:
  2. 选择利用实验箱扬声器/PC 机内8253 驱动内扬声器与键盘1,2,3,4,5,6,7,8 设计一个电子琴, 通过按数字键响相应的乐符1,2,3,4,5,6,7,ⅰ,使能演奏简单的乐曲。按1~8数字键时同时点亮相应的 8个LED 灯,使具有声、光效果-simple piano based in asm,
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:9613
    • 提供者:fsr
  1. LED

    0下载:
  2. 具有产生三种基本波形脉冲信号(正弦波、矩形波和三角波),以及三次(及三次以下)谐波与基波的线性组合脉冲波形输出,且单脉冲输出脉宽及连续脉冲输出频率可调,范围从100HZ到1kHZ,步进为100HZ;幅度可调,从0到5伏,步进为0.1V-failed to translate
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:3179
    • 提供者:lihuaxing
  1. tilt-sensor-angle-measurement

    1下载:
  2. 一个是基于倾角传感器SCA100T设计的倾角测量仪的源程序,采用传感器内部数字输出。一个是八位串行LED显示驱动器MAX7219 7211应用程序,采用C语言编程,虽短但程序较为实用-One is based on SCA100T design of tilt sensor angle measurement device of the source, using the internal sensor, digital output. Is a eight bit serial LED dis
  3. 所属分类:assembly language

    • 发布日期:2017-03-28
    • 文件大小:3165
    • 提供者:李非
  1. keyboard

    0下载:
  2. 基于MCS-89C51的秒表LED数码管显示-Based on the MCS-89C51 stopwatch LED digital display
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:1208
    • 提供者:lch
  1. led_ct

    0下载:
  2. Led blinking with 89c51
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:934
    • 提供者:thaisalem
  1. anjian

    1下载:
  2. 按键控制与LED灯联合起来设计程序 第一按键正转同时八盏流水灯从左往右循环流水 第二按键反转同时八盏流水灯从右往左循环流水 第三按键停转同时八盏流水灯全灭 按键之间可任意切换状态-Button control and LED lights together the first key of the design process forward eight water lights from left to right recirculating button reverse at
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:9061
    • 提供者:磊仔
  1. 1602LCDAD_DA_PCF8591

    0下载:
  2. 此程序通过IIC协议对DAAD芯片操作,读取电位器的电压通过液晶显示,并输出模拟量,用LED亮度渐变指示-This program through the IIC protocol the DAAD chip operation, read the potentiometer voltage through the LCD and analog output, LED brightness gradient directions
  3. 所属分类:assembly language

    • 发布日期:2017-04-16
    • 文件大小:26224
    • 提供者:顾澄宇
  1. MagicLED

    0下载:
  2. 实现LED显示。可以在班子上面显示美丽的画面-Of LED display. Beautiful picture can be displayed in the team above
  3. 所属分类:assembly language

    • 发布日期:2017-05-16
    • 文件大小:3904916
    • 提供者:吕婷婷
  1. dtd

    0下载:
  2. (合泰)为一控制LED灯的源程序,通过控PWM方式实现色彩的柔和变化-(Of Thailand) as a control LED light source control PWM soft changes in color
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:5355
    • 提供者:雷亚明
« 1 2 ... 36 37 38 39 40 4142 43 44 45 46 ... 50 »
搜珍网 www.dssz.com