CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 汇编语言 搜索资源 - 防碰撞算法

搜索资源列表

  1. MANCHESTER_DECODER

    0下载:
  2. 射频识别防碰撞算法,用veriloghdl编写。-RFID anti-collision algorithm
  3. 所属分类:assembly language

    • 发布日期:2017-03-28
    • 文件大小:1166
    • 提供者:洪海亮
  1. rar-(1)

    0下载:
  2. MATLAB仿真实现RFID的防碰撞算法包括二进制和ALOAH-MATLAB simulation of RFID anti-collision algorithm including binary and ALOAH
  3. 所属分类:assembly language

    • 发布日期:2017-05-06
    • 文件大小:809091
    • 提供者:丛军华
搜珍网 www.dssz.com