CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 汇编语言 搜索资源 - code

搜索资源列表

  1. ES-Code

    0下载:
  2. Assembly code for labs in embedded Systems
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:109363
    • 提供者:Nasir
  1. code-for-computing-pf

    0下载:
  2. code in computing pf
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:2143
    • 提供者:alnurs
  1. CUMCM-MATLAB-code

    0下载:
  2. 这是2003年全国大学生数学建模竞赛A题MATLAB源代码-This is the 2003 National Mathematical Contest in Modeling A title MATLAB source code
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:4492
    • 提供者:王梦妮
  1. for-complier-code-translation

    0下载:
  2. 此代码是用cpp和mfc开发的用于将计算机组成中涉及的编码进行转化到机器码-This code is used mfc cpp and development for computer components involved in coding transformed into machine code
  3. 所属分类:assembly language

    • 发布日期:2017-05-27
    • 文件大小:9616333
    • 提供者:柳下惠
  1. source-code

    0下载:
  2. source code for pwm -source code for pwm
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:4601
    • 提供者:Yuvaraj
  1. Example-Measure-Source-Code

    0下载:
  2. souce file from keil... i need to upload some file so that i can download file. i m a new beginner and no source code yet. so i just anyhow uploaded one source code. please ignore it. thanks you.. sorry for inconvience
  3. 所属分类:assembly language

    • 发布日期:2017-04-17
    • 文件大小:21150
    • 提供者:aung
  1. sample-code-asm-clock-use-1307-with-LCD

    0下载:
  2. Digital Clock with alarm using DS1307 For Keil/ A51 Macro Assembler Designed By: Ajay Bhargav E-mail: ajay_bhargav@hotmail.com WWW: www.rickeyworld.info PS: Use only A51 Macro assembler for linking this file else
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:4009
    • 提供者:Bagusasari
  1. _CPP-manage-system-source-code

    0下载:
  2. 简单的物品进出库管理系统源代码,可以直接用来作为教学基本文件-Simple goods in and out of the library management system source code
  3. 所属分类:assembly language

    • 发布日期:2017-04-17
    • 文件大小:10519
    • 提供者:ketven
  1. VCPP-code

    0下载:
  2. 这资料主要是一些C++的代码,希望对大家有用。-This information is mainly some C++ code, I hope useful for everyone.
  3. 所属分类:assembly language

    • 发布日期:2017-05-13
    • 文件大小:3051433
    • 提供者:何标
  1. QPSK-code--FPGA

    0下载:
  2. 一种基于FPGA的Q​ P​ S​ K​ 调​ 制​ 解​ 调​ 的代码仿真,很实用-A code Q P S K modulation demodulation of FPGA-based emulation, it is practical
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:3763
    • 提供者:杨皓然
  1. Tetris-C-code

    0下载:
  2. 俄罗斯方块源代码,C语言编写,希望大家喜欢-Tetris source code, C language, hope you like! !
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:6014
    • 提供者:于波
  1. TYPE-B-card-chip-531-source-code

    0下载:
  2. 从建立PCD与PICC(CPU卡)之间通信的方面来比较: TYPEA类型卡片需要的基本命令有: REQA对A型卡的请求或(WAKE-UP唤醒) ANTICOLLISION 防冲突 SELECT选择命令 RATS应答响应 -TYPE B card chip 531 source code
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:4982
    • 提供者:sly
  1. Code

    0下载:
  2. Code for test scoring machine , using Atmel Mega32
  3. 所属分类:assembly language

  1. Bluetooth-Combat-Robot-Code-in-Assembly-Language.

    0下载:
  2. Bluetooth Combat Robot Code in Assembly Language. Robot for Robowar
  3. 所属分类:assembly language

    • 发布日期:2017-04-29
    • 文件大小:9436
    • 提供者:Irfan Sajid
  1. code

    0下载:
  2. 雷艳静书本微机实验代码,都运行过,保证正确的!-Lei Yanjing computer experimental code books have been run to ensure correct!
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:1863
    • 提供者:Dexter
  1. CODE-888---8051---chipkool

    0下载:
  2. Code led cube 8x8x8 bằ ng c - echipkool.com
  3. 所属分类:assembly language

    • 发布日期:2015-11-10
    • 文件大小:100352
    • 提供者:chipkool.com
  1. code

    0下载:
  2. VC 五子棋源代码(人机与对战两种模式)-VC backgammon source code (Human and Battle modes)
  3. 所属分类:assembly language

    • 发布日期:2017-05-03
    • 文件大小:612917
    • 提供者:马尔福
  1. cycle-code

    0下载:
  2. 这是Quartusll环境下采用原理图输入法实现的循环码。-This is the next Quartusll environment using schematic entry method to achieve the cyclic code.
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:114870
    • 提供者:艳阳天
  1. lift-code

    0下载:
  2. FIVE FLOOR LIFT CONTROLLER VERILOG CODE
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1956
    • 提供者:rkvrajan
  1. Standard-artificial-bees-code

    0下载:
  2. 标准人工蜂群代码,自带12个测试函数,绝对可用-Standard artificial bees code, comes with 12
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:3312
    • 提供者:王坤
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com