CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 汇编语言 搜索资源 - process

搜索资源列表

  1. 1302

    0下载:
  2. 万年历程序 从1302中读出的数据放在67H(高两位年)66H(低两位年)65H(月)64H(星期)63H(日) 62H(小时)61H(分钟)60H(秒)57H(农历月)56H(农历日) 显示缓冲单元7CH-7BH(4CH-4BH)(年低位),7AH-79H(4AH-49H)月,78H(48H)星期, 77H-76H(47H-46H)日,75H-74H(45H-44H)小时,73H-72H(43H-42H)分钟,71H-70H(41H-40H)秒 5EH-5DH(55H-54H
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:7061
    • 提供者:liujinhong
  1. 8086

    0下载:
  2. 模拟实际的交通灯程序 1加到100 8086模拟器2.05-Simulation of the actual process of traffic lights 100 8086 Simulator 1 Add 2.05
  3. 所属分类:assembly language

    • 发布日期:2017-05-07
    • 文件大小:1273681
    • 提供者:殷海军
  1. ASM

    0下载:
  2. AT89S51红外程序单片机学习板的程序 asm汇编程序-Procedures for single-chip AT89S51 infrared study of the process board assembler asm
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:7315
    • 提供者:文一
  1. Joseph

    0下载:
  2. 1. 本程序中,首先应输入一个值赋给初始报数上限m,人数n应为任意的,程序应能自动保存出列人的序号并将出列的人所持的密码赋给m,再次作为报数上限,然后删除出列人信息。如此循环,直至所有人都出列为止。 2. 程序以用户和计算机的对话方式执行,即在计算机屏幕上显示“提示信息”之后,由用户在键盘上输入相应数据(即每个人所持的密码),每个人的序号由程序自动分配并存储。 -1. This process should first enter a value assigned to the init
  3. 所属分类:assembly language

    • 发布日期:2017-04-25
    • 文件大小:10506
    • 提供者:蓝精灵
  1. Code_Huffman

    0下载:
  2. 详细介绍了霍夫曼编码的源代码 用于图象的加密解密程序-Huffman code described in detail the source code for image encryption and decryption process
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1860
    • 提供者:bichaozhi
  1. C

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:324927
    • 提供者:张林锋
  1. 4443322

    0下载:
  2. 本人做矩阵按键实验时所用的编码程序,拿出来大家参考的下吧!做毕业设计继续站内资源啊-I do key experiments matrix encoding process used to produce the next reference to it! Graduates to continue to do the design station ah resources
  3. 所属分类:assembly language

    • 发布日期:2017-04-16
    • 文件大小:10785
    • 提供者:chenkai
  1. SVMluzhenbo

    2下载:
  2. SVM-matlab故障诊断程序 -SVM-matlab process fault diagnosis fault diagnosis SVM-matlab program
  3. 所属分类:assembly language

    • 发布日期:2017-05-13
    • 文件大小:2703464
    • 提供者:jorn
  1. 2To10Transmit

    0下载:
  2. 用汇编语言实现2进制向十进制的转换,过程用类似模块化的标签,通过跳转实现,代码块清晰易读-Using assembly language to achieve 2-band conversion to the metric system, the process of labeling similar to the modular, through Jump realized legible block of code
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:981
    • 提供者:Frank
  1. DCT

    0下载:
  2. 这是我一个DSP实习,用汇编语言编实现离散余弦变换(DCT)的DSP程序设计。它有详细的设计流程、仿真结果,有完整的模块源码。其中有工程文件,和一个WORD文档,相信能让你清新的了解设计过程,掌握汇编设计离散余弦变换!-This is my internship a DSP using assembly language for the realization of discrete cosine transform (DCT) of DSP programming. It has a deta
  3. 所属分类:assembly language

    • 发布日期:2017-04-23
    • 文件大小:169869
    • 提供者:SUN
  1. drv1320

    0下载:
  2. 本程序实现驱动TC1320实现DA转换。 入口参数:待转换的数据在SOU中。 出口参数:无。-TC1320 drive the process to achieve the realization of DA conversion. Entrance parameters: the data to be converted in the SOU. Export parameters: None.
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:740
    • 提供者:liu gang
  1. Hexp6

    0下载:
  2. A/D-D/A转换程序,可用于初学者联系,希望多多指教-A/DD/A conversion process, contact can be used for beginners, I hope the exhibitions
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:785
    • 提供者:张菲菲
  1. 51asm

    0下载:
  2. 单片机程序,自己做的小程序,希望能够给大家一些帮助-Single-chip process, their own small program, hoping to give you some help
  3. 所属分类:assembly language

    • 发布日期:2017-04-29
    • 文件大小:55234
    • 提供者:pc
  1. theelectroniccodelock

    0下载:
  2. 这里是电子密码锁的全部设计过程,以及包括源代码-Here are all the electronic code lock design process, as well as including source code
  3. 所属分类:assembly language

    • 发布日期:2017-04-29
    • 文件大小:312489
    • 提供者:邱述彦
  1. yuyinqudong

    0下载:
  2. 语音驱动程序(8位命令格式),驱动语音的程序,可行性强-Voice Driver (8-bit command format), voice-driven process, the feasibility of strong
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:2877
    • 提供者:谭强
  1. 080603_pic12f675_sop

    0下载:
  2. 汽车HID程序,是批量生产的东西.很好,希望对大家有帮助-Automotive HID process is mass-produced things. Well, we hope to help
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:825
    • 提供者:
  1. Acc1-1

    0下载:
  2. 基于数据采集中的直线插值算法程序,内有详细的程序注释,适合于汇编语言和接口教学的范例-Based on data collected in the linear interpolation algorithm process, there are detailed procedures for the Notes is suitable for teaching assembly language and interface example
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:1497
    • 提供者:zxz
  1. Acc1-2

    0下载:
  2. 基于数据采集中的二次曲线插值算法程序,内有详细的程序注释,适合于汇编语言和接口教学的范例-Based on data collected in the second curve interpolation algorithm process, there are detailed procedures for the Notes is suitable for teaching assembly language and interface example
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:1304
    • 提供者:zxz
  1. PID

    0下载:
  2. 增量式PID控制算法程序T、TD、TI、KP依次从30H,33H,36H,39H开始。 -Incremental process PID control algorithm T, TD, TI, KP followed from the 30H, 33H, 36H, 39H began.
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:8996
    • 提供者:肖凌辉
  1. weiji

    0下载:
  2. 微机原理实验报告学习利用编译错误信息进行程序修改;(程序包含一个语法错误和一个逻辑错误) 学习程序流程控制: 条件跳转指令ja jb  call指令,观察程序执行时堆栈的变化(学会用debug –g命令设置断点); -Computer experiment to study the use of the report compiled for the procedure to amend an error message (program contains
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:5729
    • 提供者:宋立泉
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 34 »
搜珍网 www.dssz.com