CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 汇编语言 搜索资源 - process

搜索资源列表

  1. keyanddatacontrol

    0下载:
  2. 利用键盘处理程序和显示程序控制键盘与数码管,使其达到人们预想的目的-Using the keyboard and display processing process control keyboard and digital tube, to reach the people intended purposes
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:863
    • 提供者:成祖权
  1. CX

    0下载:
  2. 汇编语言程序设计。主要介绍汇编语言程序的设计方法与编程原理,重点掌握算术运算程序、字符串处理程序、码制转换程序、子程序设计程序、常用DOS和BIOS功能调用程序、汇编语言常用伪指令。-Assembly language programming. Introduces assembly language program design and programming principles, key control procedures for arithmetic operations, strin
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:1749
    • 提供者:付波
  1. piano1

    0下载:
  2. 电子琴设备,可以通过按键发声的程序,比较好用的,-Keyboard device, you can key voice in the process, more useful, ha ha
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1993
    • 提供者:ruby
  1. Light

    0下载:
  2. TMS320LF2407的LED程序,循环点亮7个LED-TMS320LF2407 the LED process, cycle 7 LED light
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:619
    • 提供者:ruanshaowei
  1. music.txt

    0下载:
  2. 用汇编语言编写的音乐设计程序,可以实现高低音符的显示-Music written in assembly language design process, the display can achieve high and low notes
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:1558
    • 提供者:廖成
  1. grammer

    0下载:
  2. 此程序简单模拟了汇编语言中的词法分析过程。-This program simulates a simple assembly language in the lexical analysis process.
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:1441
    • 提供者:sunlong
  1. A3A8

    0下载:
  2. 用汇编语言实现sim卡鉴权过程的A3A8算法。-Assembly language to achieve sim card authentication process A3A8 algorithm.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:11332
    • 提供者:关培
  1. PIC_REMOTE

    0下载:
  2. PIC16F873单片机红外解码程序,然后控制灯闪烁-PIC16F873 MCU IR decoding process, and then control the lights flashing
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:2294
    • 提供者:zcl
  1. Solarradiation

    0下载:
  2. 利用SIMULINK计算太阳辐射的建模与数值模拟的程序-Solar radiation is calculated using a SIMULINK modeling and numerical simulation of the process
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:509558
    • 提供者:吴方
  1. wkc_1

    0下载:
  2. 超声波测距程序,已经调试通过,采用CX2106A接受,74HC04发送-Ultrasonic ranging process has been debugged using CX2106A accepted, 74HC04 send
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:3779
    • 提供者:luoming
  1. 3

    0下载:
  2. 案例4加减乘除四则运算 汇编的源代码程序及其说明-Case 4 Math arithmetic assembly process and its descr iption of the source code
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:3411
    • 提供者:王玮鹏
  1. BPSK-AWGN

    0下载:
  2. Matlab编写BPSK信号通过AWGN信道的仿真过程-Matlab write BPSK AWGN channel signal through the simulation process
  3. 所属分类:assembly language

    • 发布日期:2017-03-23
    • 文件大小:5584
    • 提供者:于嘉
  1. ColorCalibration

    0下载:
  2. ColorCalibration 摄像机标定源代码 实现了摄像机标定的过程-ColorCalibration camera calibration source for camera calibration process
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:1699085
    • 提供者:张晶
  1. STC89C52

    0下载:
  2. 学习型遥控器,包括它的发射程序和学习程序,实现一个遥控器代替多个遥控器的功能。-Learning remote control, including the launch of its procedures and learning process, to achieve more than a remote control instead of remote control functions.
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:8779
    • 提供者:曾凡素
  1. jisuanjijiekoushiyanbaogao

    0下载:
  2. 通过2CH、2AH号功能调用系统时间和日期,并将其显示在屏幕上;通过在主程序中判别从键盘输入的字符,实现不同的功能:结束程序、设置时间、显示秒表;在整个功能实现过程中,多次调用宏KEY、DELAY、PUSHA、POPA以减少程序代码。 -By 2CH, 2AH number call system time and date functions, and displays on the screen by the main program in the identification of
  3. 所属分类:assembly language

    • 发布日期:2017-04-23
    • 文件大小:47245
    • 提供者:double
  1. mp

    0下载:
  2. 汇编冒泡的程序。。。十进制,有大到小排列。很不错哦!作业!-Bubble assembly process. . . Decimal, ordered large to small. Oh good! Job!
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:720
    • 提供者:圈圈
  1. studentsystem

    0下载:
  2. 通过C语言编程学习学生学籍管理系统,理解编程的流程-Through the C programming language learning student record management system, understand the programming process
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:181209
    • 提供者:李攀
  1. xiilizil

    0下载:
  2. 里面包含09汇编语言的PPT课件与解说以及代码,PDF格式的C语言趣味百例,初学单片机的40个基本实验,Keil2的单片机编程使用说明以及绝对完整的UsbISP的下载线制做过程和资料-Which contains 09 assembly language courseware and explanation, and code PPT, PDF format, 100 cases of C-language fun, beginner basic experimental SCM 40, Kei
  3. 所属分类:assembly language

    • 发布日期:2017-05-27
    • 文件大小:10030101
    • 提供者:沧海
  1. ARMAcprogram

    0下载:
  2. 这是一个可对时间序列实现ARMA拟合的c程序。-This is a fitting time series to achieve the c ARMA process.
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:3875
    • 提供者:李波
  1. even

    0下载:
  2. 编制计算N (N<50)个偶数之(2+4+6+…)的子程序和接收输入N及将结果(例如2+4+6=12)显示的主程序。 对键盘输入的字符进行判断,如果不是数字,显示提示,输入两位数。对输入的数字进行判断,如果输入的数不在1~50之间,提示重新输入。结束时,显示提示,按任意键退出。 在程序的开始显示自己的学号及姓名(拼音)。 编制一个主模块,编制一个子程序模块。实现参数的传递-Preparation of calculations N (N < 50) were even
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:3274
    • 提供者:孙燚
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 34 »
搜珍网 www.dssz.com