CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 汇编语言 搜索资源 - process

搜索资源列表

  1. 20050329Hv108c_m

    1下载:
  2. 地感线圈,车辆检测器的,MCU实现程序,成熟!-Sense coil, vehicle detector, MCU implementation process, mature!
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:1044
    • 提供者:songheng
  1. reverse-cPP

    0下载:
  2. 逆向C++,通过分析在反汇编时如何手工识别C++对象,进而自动完成这一分析过程,一步一步帮助读者掌握逆向C++程序的一些方法-Reverse C++, compiled by analyzing how the anti-C++ objects identified manually, and then automate this analysis process, step by step process to help readers grasp some of the ways the r
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:919934
    • 提供者:vkyii
  1. killprocPsrcASM

    0下载:
  2. Asm console process killer, uses TERMINATE_PROCESS. Compile using MASM32. For Windows, of course :)
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:3309
    • 提供者:PsYcHoCoDe
  1. Intelhbyycxsjdsb

    1下载:
  2. Intel汇编语言程序设计。本书全面细致地讲述了汇编语言程序设计的各个方面。从微处理器体系结构、工作机制到指令集;从最基本的编译器链器的使用到高级过程、结构和宏的使用;从用纯汇编编写程序到用C/C++等最新编译器与汇编的混合接口编程;从16位实模式下BIOS、DOS实模式文本及图形程序设计到32位保护模式的Windows程序设计;从磁盘基础知识到Intel指令编码、浮点运算等相关知识都做了深入而细致的讲解。-Intel assembly language programming. The boo
  3. 所属分类:assembly language

    • 发布日期:2017-05-26
    • 文件大小:9302827
    • 提供者:戈青
  1. lwkz

    1下载:
  2. 设计制作和调试一个由工业控制机控制的温度测控系统。通过这个过程学习温度的采样方法,A/D变换方法以及数字滤波的方法。通过时间过程掌握温度的几种控制方式,了解利用计算机进行自动控制的系统结构。-Temperature measurement and control system design and debugging control of an industrial control machine Systems. Learning through this process, the tem
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:8335
    • 提供者:chen
  1. cos

    0下载:
  2. 使用汇编语言编写的用于产生余弦和正弦信号的程序-Hand-coded used to produce cosine and sine signal process
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:1065
    • 提供者:何菲菲
  1. 1

    0下载:
  2. 加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is signal abc: std_logic_vector(0 t
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:554
    • 提供者:镜辰
  1. SUNPLUSI2C

    0下载:
  2. 用凌阳汇编写的,主从机对话程序有程序和资料详加叙述-Sunplus written in assembler, the master-slave dialogue process procedures and information in detail described
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:554814
    • 提供者:孙振彬
  1. MDL-TO-ssm

    0下载:
  2. 基于MDL的形状统计模型,ASM的统计建模过程中标定点的标定采用的手工操作,此文献采用了基于MDL的自动标定方法-A Minimum Descr iption Length Approach to Statistical Shape Modeling。The statistical modeling ASM the process of fixed calibration adopts the manual operation, this document adopted the automat
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:487327
    • 提供者:符晓娟
  1. Secret-C-P-P-compiler-

    0下载:
  2. 揭秘:C++编译器的函数编译流程对于 .cpp 文件中调用的一个函数 ( 或成员函数 )-Secret: C++ compiler the function compile process
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:3665
    • 提供者:小芳
  1. xianshicaisejuxingkuai

    0下载:
  2. 案例10显示彩色矩形块,这是一个用四种颜色各显示一个矩形块的程序-Case 10 shows a colored rectangle, each show a rectangular block of a four color process
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:1302
    • 提供者:常雪倩
  1. xiaobingdu

    0下载:
  2. 一个用汇编语言写的小病毒源代码,打开之后开始倒计时,时间到则结束电脑当前正在运行的所有进程,执行关机命令-A virus written in assembly language source code, open, start the countdown time to the end computer is currently running process, the shutdown command
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:4745
    • 提供者:track
  1. anjian

    1下载:
  2. 按键控制与LED灯联合起来设计程序 第一按键正转同时八盏流水灯从左往右循环流水 第二按键反转同时八盏流水灯从右往左循环流水 第三按键停转同时八盏流水灯全灭 按键之间可任意切换状态-Button control and LED lights together the first key of the design process forward eight water lights from left to right recirculating button reverse at
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:9061
    • 提供者:磊仔
  1. read1

    1下载:
  2. 服务端的串口接收程序 linux下的。阻塞型的读写程序-The service side of the receiver program under linux. Blocking read and write process
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:805
    • 提供者:zhanhe
  1. liushui

    0下载:
  2. 流水灯,很有用的,是一个很好的学习程序,欢迎下载-Water lamp, useful is a good learning process, are welcome to download
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1485
    • 提供者:吴涛
  1. 8-2

    0下载:
  2. 主程序MAIN和过程PROADD在不同源文件中,要求分别使用堆栈传送参数的方法,用过程PROADD累加数组中的所有元素,并把和(不考虑溢出的可能性)送到指定的存储单元中去。-The main program the MAIN and process PROADD, in different source files, stack transmission parameters respectively, using the process PROADD accumulate all the el
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:839
    • 提供者:陈浩东
  1. fg

    0下载:
  2. 根据流水线作业的原理,模拟饮料装配过程。-According to the principle of pipeline operations, simulated beverage assembly process.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:10544
    • 提供者:李颜若
  1. weijiyuanli

    0下载:
  2. 该文件是微机原理及应用的一份可程设计实验与探索,包括汇编语言及相应的操作方法。-The file is a computer principle and application of a process design experiment and explore, including assembly language and the corresponding method of operation.
  3. 所属分类:assembly language

    • 发布日期:2017-04-16
    • 文件大小:203871
    • 提供者:王娜娜
  1. 36-7

    0下载:
  2. 通过调用时钟,模拟仿真36选7的开奖过程-Simulation of 36 7 of the lottery process
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:947
    • 提供者:陈楚升
  1. vba-language-and-the-form

    0下载:
  2. 汇编语言语句格式 伪指令 汇编语言源程序结构 汇编语言程序的上机过程 动态调试程序DEBUG-Assembly language statement format directive assembly language source code structure to assembly language programming on the machine the process of dynamic debugger DEBUG
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:303988
    • 提供者:祝浩
« 1 2 ... 18 19 20 21 22 2324 25 26 27 28 ... 34 »
搜珍网 www.dssz.com