CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 汇编语言 搜索资源 - process

搜索资源列表

  1. cPPproducter

    0下载:
  2. 单个生产者消费者,多个生产者消费者的代码,多进程多缓冲区-Code of individual producers and consumers more than producers and consumers, multi-process buffer
  3. 所属分类:assembly language

    • 发布日期:2017-11-29
    • 文件大小:2606
    • 提供者:田凤山
  1. LCM

    0下载:
  2. 128*64点阵液晶驱动程序,用汇编语言实现,程序完整-128* 64 dot matrix LCD driver assembly language,Integrity of the process
  3. 所属分类:assembly language

    • 发布日期:2017-11-24
    • 文件大小:1452
    • 提供者:王霞
  1. Commonly-used-c-program

    0下载:
  2. 100个经典C语言程序,在以后的程序过程中,这些都是常用到的,如要应聘软开的,这些也是HR常问的。-100 classic C language program, in the later program process, these are commonly used, if you want to apply for soft open, these also HR often ask.
  3. 所属分类:assembly language

    • 发布日期:2017-11-14
    • 文件大小:50461
    • 提供者:刘一
  1. Simulink-and-Signal-Processing

    0下载:
  2. Simulink与信号处理,详细介绍了应用simulink来处理信号的过程。-Simulink and signal processing, details of the process application simulink to the processed signal.
  3. 所属分类:assembly language

    • 发布日期:2017-11-14
    • 文件大小:1812889
    • 提供者:Danica
  1. 4.tar

    0下载:
  2. 4.1 汇编语言中的语句 4.2 伪指令 4.3 源程序结构 4.4 汇编语言的上机过程-4.1 4.2 directive in assembly language statement 4.3 source code structure 4.4 on machine assembly language process
  3. 所属分类:assembly language

    • 发布日期:2017-12-05
    • 文件大小:516719
    • 提供者:黄根炎
  1. duffing130120_ok

    0下载:
  2. 用图形对混沌状态进行判别,比较直观的反应了混沌状态到大尺度周期状态的跃迁过程-Chaotic state to discriminate graphical, intuitive reaction to the chaotic state to the large-scale periodic state transition process
  3. 所属分类:assembly language

    • 发布日期:2017-11-16
    • 文件大小:135770
    • 提供者:lwl
  1. pwq

    0下载:
  2. 在keil上用汇编实现了一个简单的数字钟,附有电路连接说明和功能描述;并记述了调试过程。-Compilation keil on a simple digital clock, with the electrical connection and Descr iption account of the commissioning process.
  3. 所属分类:assembly language

    • 发布日期:2017-11-25
    • 文件大小:16744
    • 提供者:刘延飞
  1. Aaaa

    0下载:
  2. 本设计基于应用西门子S7-300 PLC和西门子MM420、MM440变频器组成的升降机控制和驱动系统,可以完成对升降机自动运行的智能化控制和管理,可以根据生产线的实际生产需要和具体工艺要求自动调整升降方向和速度快慢-The design is based on Siemens S7-300 PLC and Siemens MM420, MM440 Inverter lift control and drive systems, can be completed automatically ru
  3. 所属分类:assembly language

    • 发布日期:2017-11-21
    • 文件大小:377448
    • 提供者:sunyujuan
  1. project5164

    0下载:
  2. 按键扫描程序,扫描按键值并进入相应子程序-The button scanning process, the scan button and enter the corresponding subroutine
  3. 所属分类:assembly language

    • 发布日期:2017-12-05
    • 文件大小:1089
    • 提供者:
  1. Signal-de-noising-processing

    1下载:
  2. 关于小波分解中的低频图像,实现低通滤波消噪小波软硬阈值去噪的程序-About low-frequency image of wavelet decomposition, realize low-pass filtering de-noising of wavelet soft threshold denoising process
  3. 所属分类:assembly language

    • 发布日期:2017-11-16
    • 文件大小:912
    • 提供者:zjy
  1. AVP

    0下载:
  2. 卡巴主动防御中检测隐藏进程的方法卡巴主动中检测隐藏进程的主动方法-The detected active defense Kabbah hidden process Kabbah, active defense in detecting hidden processes
  3. 所属分类:assembly language

    • 发布日期:2017-11-19
    • 文件大小:3825
    • 提供者:red
  1. shenjing-chuanbo

    2下载:
  2. 用神经网络控制船舶航向的仿真程,txt文件。-Neural network simulation process control of ship course, txt file.
  3. 所属分类:assembly language

    • 发布日期:2017-11-25
    • 文件大小:1049
    • 提供者:liuyang
  1. switch

    0下载:
  2. 双电源转换开关自动转换程序,能实现断相检测过压,欠压检测-Dual power switch automatic conversion process, to achieve the Phase-cut overvoltage, undervoltage detection
  3. 所属分类:assembly language

    • 发布日期:2017-11-18
    • 文件大小:5518
    • 提供者:bob
  1. voiceOpt

    0下载:
  2. 这个程序包有三个功能函数: 1.语音能量检测; 2.语音会议合成; 3.128阶带通滤波器。 以上函数已经采用汇编语言进行了优化,并提供C语音调用的头文件。 可用于ADI的BLACKFIN系列DSP的硬件环境。 本人已经测试,已经应用于相关项目。-voice energy,voice meeting,digital filter.use by ADI BLACKFIN process.
  3. 所属分类:assembly language

    • 发布日期:2017-11-15
    • 文件大小:3593
    • 提供者:老刘
  1. CIFA

    0下载:
  2. 该程序要实现的是一个读单词过程,从输入的源程序中,识别出各个具有独立意义的单词,即基本保留字、标识符、常数、运算符、分隔符五大类。并依次输出各个单词的内部编码及单词符号自身值。(遇到错误时可显示“Error!”,然后跳过错误部分继续进行)-The program to achieve is a read word process, from the input source program, identify each independent meaning of words, namely,
  3. 所属分类:assembly language

    • 发布日期:2017-11-27
    • 文件大小:170343
    • 提供者:woshijly
  1. Assembler-processes

    0下载:
  2. 熟悉汇编语言的汇编工具及编译、执行过程 掌握转移指令和分支程序的设计-Familiar with assembly language assembler tools and compiler, the implementation process master branch instruction and the branch program design
  3. 所属分类:assembly language

    • 发布日期:2017-11-20
    • 文件大小:266240
    • 提供者:
  1. chepaishibie

    1下载:
  2. 基于matlab的车牌识别,GUI图像显示,简洁明了,识别过程用的是模板匹配,文件齐全。-License plate recognition matlab-based GUI image display, concise, the identification process is the template matching, the file is complete.
  3. 所属分类:assembly language

    • 发布日期:2017-12-08
    • 文件大小:3249628
    • 提供者:孙雨臣
  1. MoHu-pingpan--C-yuyan-code

    0下载:
  2. 模糊综合评判算法(C语言编程)的实现: 本算法采用了二级模糊综合评判法,评价集个数为4,评价因子个数为11,实现c语言编译过程 -Fuzzy comprehensive evaluation of the implementation of the algorithm (C programming language): This algorithm uses two fuzzy comprehensive evaluation method, the number of evaluati
  3. 所属分类:assembly language

    • 发布日期:2017-11-01
    • 文件大小:15501
    • 提供者:慕华
  1. 8088

    0下载:
  2. 该程序是个针对8088芯片的汇编语言的学习程序,包含很多基本又经典的例子-The program is a learning process for the 8088 chip assembler language, contains many of the basic and classic examples
  3. 所属分类:assembly language

    • 发布日期:2017-11-08
    • 文件大小:3383
    • 提供者:wuqi
  1. matlab

    0下载:
  2. 基于matlab化工过程建模与仿真的研究方法-Matlab-based modeling and simulation of chemical process research methods
  3. 所属分类:assembly language

    • 发布日期:2017-11-13
    • 文件大小:197632
    • 提供者:孙永久
« 1 2 ... 21 22 23 24 25 2627 28 29 30 31 ... 34 »
搜珍网 www.dssz.com