CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 汇编语言 搜索资源 - process

搜索资源列表

  1. shuzixinhao

    0下载:
  2. 有关数字信号应用的论文总结,包括选题意义、原理、设计过程和结果。-Papers on digital signal application review, including significance of the topic, the principles, the design process and results.
  3. 所属分类:assembly language

    • 发布日期:2017-04-25
    • 文件大小:413841
    • 提供者:曹灵莉
  1. rainflow

    0下载:
  2. 采用MATLAB软件编译的结构疲劳损伤程序 雨流计数法-Using MATLAB software to compile the structure of the fatigue damage process, the rain flow count method
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:570
    • 提供者:杨艳
  1. fengzaishichengquxian

    1下载:
  2. 谐波合成法模拟脉动风载时程曲线风载仿真计算-When harmonic synthesis process simulation fluctuating wind load wind load simulation curve
  3. 所属分类:assembly language

    • 发布日期:2017-04-26
    • 文件大小:15614
    • 提供者:张林
  1. zp

    0下载:
  2. 毕业生信息管理系统的整体开发过程。介绍了系统的开发环境以及开发工具,对于设计思想和设计流程也做出了全面的叙述,在数据库创建思想以及各个数据表之间的具体关联等方面也做出了详细说明,并且具体剖析了系统各个功能的实现过程以及详细设计过程。-The whole development process of graduate information management system. Introduced the system development environment and developmen
  3. 所属分类:assembly language

    • 发布日期:2017-05-27
    • 文件大小:10052513
    • 提供者:cy
  1. clock

    0下载:
  2. 定时闹钟以单片机80C51为核心来完成,使用12MHZ晶振与单片机80C51相连接,通过软件编程的方法实现了以24小时为一个周期同时显示小时、分钟和秒的要求,并在计时过程中具有报时功能,当时间到达整点进行蜂鸣报时-Timing clock 80C51 microcontroller core to complete, use 12MHZ crystal is connected with the 80C51 microcontroller to achieve a 24-hour period
  3. 所属分类:assembly language

    • 发布日期:2017-04-24
    • 文件大小:62682
    • 提供者:asde
  1. TEST

    0下载:
  2. 使用AD转换芯片TLC549CP对模拟电压进行数字转换,将转换的结果处理为3位的十进制数(000~255)并通过ZLG7290B进行显示。 在AD转换的十进制显示实验的基础上:增加“声”、“光(7290的闪烁)”报警。蜂鸣器发声, ZLG7290的闪烁功能实现光报警。 “上”、“下”限采用不同频率的声音报警。 可键盘输入、设定报警值。-Use AD converter chip TLC549CP analog voltage digital conversion process conv
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:2384
    • 提供者:Sophia
  1. timing-controller

    0下载:
  2. 利用定时器产生不同频率的方法,组成乐谱由单片机进行信息处理,经过放大利用MCS-51单片机的P1.0口输出音乐。-Using the timer methods produce different frequencies, the score composed by the microcontroller to process information through the use of MCS-51 microcontroller amplification of P1.0 port outp
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:4708
    • 提供者:lz
  1. traffic

    2下载:
  2. 设计一个由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制系统,具体要求如下: (1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。 (2) 主、支道交替允许通行,主干道每次放行60 s,支干道每次放行30 s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5 s的黄灯作为过渡,并进行减计时显示。 -The design of traffic lights one formed by a main road and a branch roads converging
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:738
    • 提供者:姜轶涵
  1. Shannon-and-huffman

    0下载:
  2. 实现了经典的香农编码与哈弗曼编码的编解码-Realized the classic Shannon and Huffman coding and encoding codecs process.
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1848
    • 提供者:万倩芸
  1. 501A-Test

    0下载:
  2. BJX501A 学习程序,对于初学单片机汇编的同学帮助很大-BJX501A learning process, the students assemble for beginners SCM helpful
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:7171
    • 提供者:张冬
  1. App_Code

    0下载:
  2. 系统设计数据库搭建,用于实现信息管理系统的数据库建立,和流程设计。-System design structures for implementing information management system creation, and process design.
  3. 所属分类:assembly language

    • 发布日期:2017-04-27
    • 文件大小:243607
    • 提供者:孙彬
  1. ZF3106

    0下载:
  2. 基于3016芯片的源代码,烧录程序,附赠PCB板搭建,以及料单,说明书等资料-3016 chip-based source code, the burning process, comes with PCB board construction, and materials, brochures, etc.
  3. 所属分类:assembly language

    • 发布日期:2017-04-26
    • 文件大小:390566
    • 提供者:浩鹏
  1. wejiyuanlikechengsheji

    0下载:
  2. 模拟家用风扇工作过程。亲测可用。1 实现电机转速选择(快、中、慢,可用‘\’‘-’‘/’三个字符在屏幕同一位置的交替出现频率模拟电机的转速)。2.工作时间显示(精度:秒)。 -Simulation of household fan working process. Pro is available. 1 for motor speed choice (fast, medium and slow, \ - available / three characters on the scree
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:2324
    • 提供者:zhangbo
  1. chengxu

    1下载:
  2. 联邦滤波器三个通道滤波后数据融合结果,并考虑了丢包,能对高斯噪声及非线性滤波有较好的处理。-Federal filter three channel filtered data fusion results, taking into account the loss can Gaussian noise and nonlinear filtering process better.
  3. 所属分类:assembly language

    • 发布日期:2017-04-27
    • 文件大小:10838
    • 提供者:jackson
  1. approach

    0下载:
  2. A novel approach to unsupervised stochastic model-based image segmentation is presented and the problems of parameter estimation and image segmentation are formulated as Bayesian learning. In order to draw samples corresponding to di€ erent c
  3. 所属分类:assembly language

    • 发布日期:2017-05-02
    • 文件大小:601448
    • 提供者:杨松
  1. 7777777mcmcexample

    0下载:
  2. 这是一个基于马尔科夫链的蒙特卡罗历程,可以帮助初学者理解-based on a Markov chain Monte Carlo process, can help beginners understand MCMC
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:1055
    • 提供者:陈雅雯
  1. markov

    0下载:
  2. 通过MATLAB软件,编写程序,实现马尔科夫链的编程,理解马尔科夫实现过程-through MATLAB software, programming, implementation of Markoff chain programming, the realization process of understanding Markoff
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1593
    • 提供者:陈雅雯
  1. zuiyou

    1下载:
  2. 一刀切工艺限制条件下,求解给定板料规格、给定零件参数的二维板料排样的最优解(利用率最大化)-One size fits all process limit condition, to solve a given sheet specifications, for a given part parameters of 2 d sheet metal layout of the optimal solution (maximum utilization)
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:634
    • 提供者:熊国欢
  1. COIN2TIC

    0下载:
  2. 用于彩票机转换处理,将投币器的投币信号按照一定比例转换为出票信号,驱动票机动作。-Conversion process for lottery machines, the coin coin signal converted in accordance with a certain proportion of the vote signal to drive ticket machine operation.
  3. 所属分类:assembly language

    • 发布日期:2017-04-29
    • 文件大小:64289
    • 提供者:z
  1. helicopter

    4下载:
  2. 详细的描述了无人直升机的动力学仿真过程。本人为直升机方向,希望大家指教与交流,QQ:1723777161-Detailed descr iption of the unmanned helicopter dynamics simulation process.My direction for helicopter, I wish we know and the exchange, QQ: 1723777161
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:6742
    • 提供者:丁力
« 1 2 ... 26 27 28 29 30 3132 33 34 »
搜珍网 www.dssz.com