CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 汇编语言 搜索资源 - vector operation

搜索资源列表

  1. jishuqi

    0下载:
  2. 在用VHDL语言描述一个计数器时,如果使用了程序包ieee.std_logic_unsigned,则在描述计数器时就可以使用其中的函数“+”(递增计数)和“-”(递减计数)。假定设计对象是增1计数器并且计数器被说明为向量,则当所有位均为‘1’时,计数器的下一状态将自动变成‘0’。举例来说,假定计数器的值到达“111”是将停止,则在增1之前必须测试计数器的值。 如果计数器被说明为整数类型,则必须有上限值测试。否则,在计数顺值等于7,并且要执行增1操作时,模拟器将指出此时有错误发生 -VHD
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:29696
    • 提供者:卢陶
  1. svm-GUI

    2下载:
  2. 能够实现支持向量机的界面操作,支持向量机比神经网络功能强大,能够实现分类和识别-Support vector machine (SVM) to realize the interface operation, support vector machine (SVM) is a powerful than neural network, which can achieve the classification and recognition
  3. 所属分类:assembly language

    • 发布日期:2017-02-11
    • 文件大小:141312
    • 提供者:王霞
搜珍网 www.dssz.com