CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 编译器/词法分析 搜索资源 - vhdl 数码管显示

搜索资源列表

  1. shuma

    0下载:
  2. 7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例6-1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如图6-1数码管的7个段,高位在左,低位在右。例如当LED7S输出为 \"1101101\" 时,数码管的7个段:g、f、e、d、c、b、a分
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:206096
    • 提供者:张龙
  1. vhdl

    0下载:
  2. 3vhdl简单程序设计;4,8-3优先编码器5,3-8译码器;6,6d锁存器;7,数码管扫描显示;8,四位二进制加法计数器-3vhdl simple programming 4,8-3 5,3-8 priority encoder decoder 6,6 d latch 7, the digital scan 8, four binary up counter
  3. 所属分类:Compiler program

    • 发布日期:2017-04-05
    • 文件大小:483123
    • 提供者:绿茶混咖啡
搜珍网 www.dssz.com