CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 书籍源码 搜索资源 - FIFO

搜索资源列表

  1. uart_fifo_receive

    0下载:
  2. 串口接收数据并将数据存入FIFO,解决不同速率的设备之间进行串口通讯-UART communication
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:999982
    • 提供者:liyang
  1. fifo_internet

    0下载:
  2. 一个同步FIFO的例子。通过读写指针控制FIFO的空和满-A synchronous FIFO example through reading and writing pointer control FIFO empty and full
  3. 所属分类:source in ebook

    • 发布日期:2017-12-04
    • 文件大小:508806
    • 提供者:邓爱枝
  1. homework02-LinkedQueue

    0下载:
  2. ConcurrentLinkedQueue是Queue的一个线程安全实现。 它是一个基于链接节点的无界线程安全队列。此队列按照 FIFO(先进先出)原则对元素进行排序。队列的头部 是队列中时间最长的元素。 队列的尾部 是队列中时间最短的元素。新的元素插入到队列的尾部,队列获取操作从队列头部获得元素。 当多个线程共享访问一个公共 collection 时,ConcurrentLinkedQueue 是一个恰当的选择。此队列不允许使用 null 元素。 -ConcurrentLink
  3. 所属分类:source in ebook

    • 发布日期:2017-12-01
    • 文件大小:1725
    • 提供者:古志榮
  1. first

    0下载:
  2. 编写程序,设置不同的页面数,使用不同的页面替换策略算法进行模拟页面替换。先进先出,最近最久未使用页面置换算法等,并计算缺页率。-Programming, set a different number of pages, use a different page replacement algorithm simulation page replacement strategy. FIFO, the most recent time using the page replacement algor
  3. 所属分类:source in ebook

    • 发布日期:2017-11-24
    • 文件大小:1614
    • 提供者:蒋树林
  1. rd_wr_fifo_tb

    0下载:
  2. 68013 slave fifo 读写测试程序 fpga开发-68013 slave fifo
  3. 所属分类:source in ebook

    • 发布日期:2017-04-11
    • 文件大小:634
    • 提供者:周勇
  1. fifo12_12

    0下载:
  2. 异步fifo.能够实现异步缓冲数据,希望大家能够有帮助-Synchronous fifo, to achieve synchronization of the buffer, the hope that useful
  3. 所属分类:source in ebook

    • 发布日期:2017-05-02
    • 文件大小:578403
    • 提供者:曾小猫
  1. fifo16

    0下载:
  2. 异步的FIFO。带TESTbenchi。希望对大家有帮助啊-Asynchronous FIFO. With TESTbenchi. I hope to have everyone help ah
  3. 所属分类:source in ebook

    • 发布日期:2017-05-01
    • 文件大小:901688
    • 提供者:曾小猫
  1. fifo16_16

    0下载:
  2. 异步的fifo,写时钟和读时钟相互独立,能够对数据进行缓存处理。希望对大家有用-Asynchronous fifo, write clock and the read clock independent of each other, capable of processing the data cache. I hope useful
  3. 所属分类:source in ebook

    • 发布日期:2017-04-07
    • 文件大小:954
    • 提供者:曾小猫
  1. Chapter-9

    0下载:
  2. 9.1 异步FIFO设计实例  9.2 DDR SDRAM Controller设计实例-9.1 Asynchronous FIFO design example 9.2 DDR SDRAM Controller Design Example
  3. 所属分类:source in ebook

    • 发布日期:2017-05-15
    • 文件大小:3950683
    • 提供者:shixiaodong
  1. myfifo

    0下载:
  2. 有空FIFO的源码,功能齐全,经过调试下载-you yong de programmer
  3. 所属分类:source in ebook

    • 发布日期:2017-04-27
    • 文件大小:8737
    • 提供者:zhang
  1. DBfifo

    0下载:
  2. 同步FIFO设计源代码,带有复位信号的同步FIFO设计,能够在同一个时钟域范围内写入读出数据,从而做到传递数据的功效。-Synchronous FIFO design source code, synchronous FIFO design with a reset signal, can write and read data in the same clock domain range, so do efficacy data transfer.
  3. 所属分类:source in ebook

    • 发布日期:2017-04-08
    • 文件大小:14488
    • 提供者:赵慕祺
  1. sync_FIFO

    0下载:
  2. asynchronous fifo verilog code
  3. 所属分类:source in ebook

    • 发布日期:2017-04-04
    • 文件大小:711
    • 提供者:ian
  1. src

    0下载:
  2. ad9628配置,给出了spi配置接口的时序描述。设计中需要例化altera的fifo。(ad9628 configure with spi configuration timing,and there is a QuartusII fifo in the design.)
  3. 所属分类:书籍源码

    • 发布日期:2018-04-29
    • 文件大小:3072
    • 提供者:mq777
« 1 2»
搜珍网 www.dssz.com