CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 信号仿真

搜索资源列表

  1. The-Introduction-Of-OFDM-Spectrum

    0下载:
  2. 本文介绍了OFDM技术及其功率谱的,并通过仿真研究了OFDM的功率谱密度特点。在同样条件下,增大子载波数目,会使信号带宽增加,带外衰减加快,功率谱密度图形更加接近于矩形。另外,添加循环前缀将使离散峰值谱线的值略微增大。-This article describes the OFDM technology and its power spectrum, and to study the characteristics of the power spectral density of the OFD
  3. 所属分类:Document

    • 发布日期:2017-11-09
    • 文件大小:144355
    • 提供者:王二
  1. malab-simulink

    0下载:
  2. 本文档,介绍了基于Matlab中simulink几种信号的调制解调的仿真。比较实用-This document describes the simulation in Matlab simulink several signal modulation and demodulation. More practical
  3. 所属分类:Communication

    • 发布日期:2017-11-20
    • 文件大小:458131
    • 提供者:fish
  1. TF-analysis

    0下载:
  2. 两篇时频分析的论文,时频分析技术简述与基于matlab的信号时频分析仿真,可以在编程时参考学习。-Two time-frequency analysis papers, time-frequency analysis technology brief matlab-based signal frequency analysis simulation can learn programming reference.
  3. 所属分类:Communication

    • 发布日期:2017-12-01
    • 文件大小:470128
    • 提供者:Jeff
  1. DCDC

    0下载:
  2. DC/DC 变换器小信号建模是研究和分析其稳定性和瞬态响应的主要手段之一。针对单端正激变换器在一个周 期内开关管导通和关断两种工作状态,建立了连续工作模式下的平均状态方程;建立小信号模型设计并仿真控制环 路的幅频特性和相频特性-Small-signal Equivalent Circuit Modeling and Compensation Network Design of DC/DC Converter
  3. 所属分类:File Formats

    • 发布日期:2017-11-16
    • 文件大小:251377
    • 提供者:
  1. signal_send01

    0下载:
  2. 对正选信号进行仿真,画频谱图,并分别在时域和频域对信号延迟。-Positive selection signal delay simulation
  3. 所属分类:Project Design

    • 发布日期:2017-11-17
    • 文件大小:710
    • 提供者:崔瑞云
  1. test

    0下载:
  2. 对正选信号进行仿真,并分别通过时域和频域对其延迟,对比延迟效果-A signal being selected for simulation, respectively, through the effect of the time and frequency domains its delay, Comparative delay
  3. 所属分类:Project Design

    • 发布日期:2017-11-30
    • 文件大小:6420
    • 提供者:崔瑞云
  1. 2psk

    0下载:
  2. 为了使数字信号在信道中有效地传播,必须使用数字基带信号的调制与解调,以使得信号与信道的特性相匹配。基于matlab实验平台实现对数字信号的2psk的调制与解调的模拟。本文详细的介绍了PSK波形的产生和仿真过程加深了我们对数字信号调制与解调的认知程度。-To make effective dissemination of the digital signals in the channel, must use the modulation and demodulation of the digit
  3. 所属分类:Communication

    • 发布日期:2017-11-26
    • 文件大小:5460
    • 提供者:晓清
  1. ofdmRx

    0下载:
  2. 接收信号的matlab程序,可以对接收信号进行仿真-Received signal matlab program received signal simulation
  3. 所属分类:Communication

    • 发布日期:2017-12-02
    • 文件大小:668
    • 提供者:edwindu
  1. DS_CDMA

    0下载:
  2. DS_CDMA通信系统的MATLAB仿真源程序,包含发射信号、QPSK调制、GLOD码扩频、解扩、解调等过程-DS_CDMA communication system simulation source of MATLAB, including emission signal, QPSK modulation, GLOD code spread-spectrum despreading, demodulation, etc.
  3. 所属分类:Communication

    • 发布日期:2017-12-02
    • 文件大小:40147
    • 提供者:hhcclover
  1. LMS-suanfa

    0下载:
  2. 基于自适应 信号处理算法(LMS算法)及LMS算法的仿真程序-Based on the adaptive adaptive signal processing algorithm (LMS algorithm) and the simulation program of the LMS algorithm
  3. 所属分类:File Formats

    • 发布日期:2017-11-25
    • 文件大小:3409
    • 提供者:
  1. dsp

    1下载:
  2. 数字信号处理课程设计报告,包含简单的新号处理,附源码及仿真结果,非常好用。-Digital signal processing course design report contains a simple number processing, with source code and simulation results
  3. 所属分类:Communication

    • 发布日期:2017-12-05
    • 文件大小:405876
    • 提供者:程时栋
  1. 2ASK

    0下载:
  2. 二进制振幅键控(2ASK)的仿真,通过对2ASK的仿真实现对信号的调制-The binary amplitude the keying (2ASK) simulation, simulation of 2ASK modulation of the signal
  3. 所属分类:software engineering

    • 发布日期:2017-12-05
    • 文件大小:3890
    • 提供者:彭焱
  1. am

    0下载:
  2. 信号的双边带am调制,相干解调仿真。通信原理matlab仿真设计。-Double sideband signal am modulation, coherent demodulation simulation. Communication theory matlab simulation design.
  3. 所属分类:software engineering

    • 发布日期:2017-11-15
    • 文件大小:127200
    • 提供者:王美玉
  1. QAM2rayleigh

    0下载:
  2. 4qam调制信号通过瑞利信达的matlab仿真-4qam modulated signal by Rayleigh up matlab simulation
  3. 所属分类:Communication

    • 发布日期:2017-12-03
    • 文件大小:8394
    • 提供者:liujiebing
  1. QAM1rician

    0下载:
  2. 4qam调制信号在瑞利分布信道中的matlab仿真-4qam modulated signal in the the Rayleigh distribution channel matlab simulation
  3. 所属分类:Communication

    • 发布日期:2017-11-26
    • 文件大小:8550
    • 提供者:liujiebing
  1. LiuJieBing1

    0下载:
  2. 2fsk的调制信号在matlab里的仿真实现-2fsk modulation signal simulation in Matlab
  3. 所属分类:Communication

    • 发布日期:2017-11-25
    • 文件大小:8035
    • 提供者:liujiebing
  1. bpsk_error_rate.gif

    0下载:
  2. bpsk调制信号在matlab里的仿真实现-Simulation of BPSK modulated signal in matlab
  3. 所属分类:Communication

    • 发布日期:2017-12-10
    • 文件大小:5639
    • 提供者:liujiebing
  1. cm_bpske

    0下载:
  2. bpsk调制信号在matlab里的仿真实现-Simulation of BPSK modulated signal in matlab
  3. 所属分类:Communication

    • 发布日期:2017-11-18
    • 文件大小:538
    • 提供者:liujiebing
  1. LiuJieBing11

    0下载:
  2. 2fsk调制信号在matlab里的仿真框图-The 2fsk modulation signal simulation block diagram in matlab
  3. 所属分类:Communication

    • 发布日期:2017-11-17
    • 文件大小:7493
    • 提供者:liujiebing
  1. daitongcaiyang

    0下载:
  2. 带通采样特点和仿真数字信号处理首先要把模拟信号变换为数字信号, 最常用的方法是采样, 奈奎斯特定律要求采样频率大于等于信号最 高频率的两倍, 对中心频率较高而频带较窄的信号使用奈奎斯特定律, 会造成采样频率很高同时有很多频段空白-Bandpass sampling characteristics and simulation of digital signal processing is first of all necessary for converting an analog sign
  3. 所属分类:Communication

    • 发布日期:2017-11-08
    • 文件大小:170027
    • 提供者:q
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 21 »
搜珍网 www.dssz.com