CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 信号仿真

搜索资源列表

  1. 421

    0下载:
  2. 针对齿轮滚动轴承等的早期损伤类故障, 提出将小波包分解作为包络分析的前置处理手段以提取振动信号的故障信息特征 。 在简述小波包基本原理的基础上, 通过仿真信号, 对振动信号的具体处理过程进行分析, 并对可能遇到的问题, 提出处理办法, 然后应用于诊断实例 。 -Early damage fault for rolling bearings and other gear, the proposed wavelet packet decomposition as pre-processing mea
  3. 所属分类:File Formats

    • 发布日期:2017-04-25
    • 文件大小:384177
    • 提供者:张力
  1. chapter-3--LPF-radar-intrurduction

    0下载:
  2. 关于脉冲压缩雷达方面的章节部分,还包括了线性调频信号产生以及匹配滤波方面的matlab仿真-About the section called pulse compression radar aspects include the chirp signal generation and matched filtering of matlab simulation
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:395438
    • 提供者:陈洪
  1. radar-simulation

    1下载:
  2. 关于典型雷达信号的侦察分析和雷达干扰建模与仿真,为雷达系统仿真提供了重要的模块。 -For typical radar signal reconnaissance and radar interference analysis modeling and simulation for radar systems provide an important module emulation.
  3. 所属分类:Project Design

    • 发布日期:2017-05-13
    • 文件大小:3422133
    • 提供者:chen
  1. PSKmodulation

    0下载:
  2. 提出了一种不仅计算量小,而且可以在低信噪比下正确识别相位调制信号的方法。利用Matlab工具进行仿真,仿真结果表明,在信噪比不低于2 dB的情况下,对PSK子类调制信号的识别率可以达到93 以上。 -Proposed a method of calculating only a small amount, and can correctly identify the phase modulation signal at a low SNR. Use Matlab tool for simul
  3. 所属分类:Communication

    • 发布日期:2017-04-10
    • 文件大小:1520287
    • 提供者:ght
  1. evm

    1下载:
  2. 针对TD-LTE PRACH信道信号EVM测量实现复杂、实时性差、测量精度不高的问题,提出一种基于随机接入信号相关性、频偏估计、相位估计以及硬件相偏校准的EVM求解算法,可以快速准确地进行EVM测量。通过对比和仿真,验证了该实现方案的高效性和可行性。该算法已在TD-LTE射频一致性测试仪表中得到应用-Implementation for TD-LTE PRACH channel signal EVM measurement of complex, real-time differential m
  3. 所属分类:Software Testing

    • 发布日期:2017-04-07
    • 文件大小:521619
    • 提供者:杨佳琳
  1. a

    1下载:
  2. 连续波信号调频的雷达处理,利用MATLAB/Simulink进行编程和仿真-FM continuous wave radar signal processing using MATLAB/Simulink programming and simulation
  3. 所属分类:Document

    • 发布日期:2017-04-25
    • 文件大小:414247
    • 提供者:许然
  1. xiaoboshenjingwangluo

    1下载:
  2. 提出了采用小波包的方法对供暖双吸式离心水泵轴承振动信号进行去噪和提取表征 相应轴承故障的频带能量 并采用 BP 神经网络进行训练和故障识别 通过 MATLAB 进行了仿真经试验验证该方法能够有效地识别出轴承故障-The wavelet package is adoptted to De-noise and extract band energy that represent bearing fault. and the BP neural network is adopting to t
  3. 所属分类:File Formats

    • 发布日期:2017-04-23
    • 文件大小:458754
    • 提供者:侯蒙蒙
  1. capture

    0下载:
  2. 一种快速的GPS软件接收机信号捕获方案和仿真-A fast GPS software receiver signal capture scheme
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:779129
    • 提供者:gm
  1. --HHT-example

    0下载:
  2. hht变换,给出仿真信号,对其进行hht变换,并与傅里叶变换做比较。-hht transform the simulation signal, its hht transform, and compare with the Fourier transform.
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:222039
    • 提供者:de
  1. 1

    0下载:
  2. 根据接收到的随机多径信号的不同幅度和到达时间,通过多径环境下的信道传输函数,仿真无线多径信道特性。深入理解多径衰落对移动通信传输技术的选择和数字接收机的设计-According to the different amplitude random of the received multipath signals and the arrival time, the channel transfer function in multipath environment, simulation of w
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:14747
    • 提供者:理想
  1. DS-UWB-signal

    0下载:
  2. 详细介绍了超宽带信号,运用高阶累积量对其进行分析,且对性能做了相关仿真。- Research on ultra-wideband signal (UWB) fourth-order cumulants, its performance is analyzed.
  3. 所属分类:File Formats

    • 发布日期:2017-04-06
    • 文件大小:373248
    • 提供者:吴旺军
  1. modulate-analyse.doc

    0下载:
  2. 不同调制信号误码率分析与边界错误概率,对多种常见信号推导其信号表示与误码率边界。并通过仿真来图示表达。-Different modulation signal error rate analysis and boundary error probability, for a variety of common signal to derive its signal represents the BER border. And through simulations portrayal.
  3. 所属分类:Communication

    • 发布日期:2017-04-17
    • 文件大小:223766
    • 提供者:wxc
  1. Ch3_1_AM

    0下载:
  2. matlab中进行am调制信号的仿真程序,并进行信噪比等指标分析。-performed am modulation signal matlab simulation program, and other indicators signal to noise ratio analysis.
  3. 所属分类:Software Testing

    • 发布日期:2017-04-10
    • 文件大小:748
    • 提供者:songheng
  1. Untitled

    0下载:
  2. 模拟信号采样与恢复程序,经验证可以运行仿真,放心下载使用。-Analog signal sampling and recovery procedures, the run to verify simulation, rest assured download.
  3. 所属分类:software engineering

    • 发布日期:2017-04-11
    • 文件大小:627
    • 提供者:秦术
  1. MATLAB

    0下载:
  2. 现代数字信号处理课后习题第三章第二十题仿真参考-Modern digital signal processing exercises after the third chapter Q20 reference simulation
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:3098
    • 提供者:吴江
  1. QAM

    0下载:
  2. 程序实现了QAm信号的编码调制以及差分解调过程,并仿真了QAm信号的星座图-QAM signal
  3. 所属分类:Project Design

    • 发布日期:2017-04-14
    • 文件大小:4511
    • 提供者:杨震
  1. CSRsim

    2下载:
  2. 压缩感知雷达信号处理matlab仿真实验,可对目标场景建模及回波信号处理有一个总体的认识。-A simulation for compressive sensing radar singal processing based matlab.
  3. 所属分类:Communication

    • 发布日期:2016-08-13
    • 文件大小:1024
    • 提供者:
  1. Z-source-inverter

    0下载:
  2. 合肥工业大学电力电子与电力传动硕士论文,详细分析了Z源逆变器的信号流图法,并进行了系统仿真和实验验证。-Master s thesis, a detailed analysis of the Z source inverter signal flow graph method, and the system simulation and experimental validation.
  3. 所属分类:Project Design

    • 发布日期:2017-05-15
    • 文件大小:3742638
    • 提供者:starcool
  1. MUSIC

    1下载:
  2. 阵列信号处理中的其中一个传统子空间方法仿真程序,二维music算法。-One of the traditional subspace method simulation program in array signal processing, the two-dimensional music algorithm.
  3. 所属分类:Communication

    • 发布日期:2017-04-10
    • 文件大小:1190
    • 提供者:王易
  1. Array-signal-processing

    0下载:
  2. 本论文的工作是对近场圆形阵列宽带信号进行处理,获得目标/焦点位置增益输出, 预先定义宽带信号阻带的旁瓣衰减大小以及感兴趣频域内的恒定波束宽带模式。本文使 用不同的方法解决这个设计问题,该问题分为两部分,第一部分解决频域内的模型设计, 第二部分给出时域内的结果。仿真结果表明解决方法的有效性。 -This thesis work is a circular array of broadband near-field signal is processed to obtain the
  3. 所属分类:Project Design

    • 发布日期:2017-05-09
    • 文件大小:1826489
    • 提供者:韩甜
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 20 21 »
搜珍网 www.dssz.com