CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 分频

搜索资源列表

  1. 23824648pinlvji

    0下载:
  2. 1. 测量信号:方波 ; 2. 测量频率范围: 1Hz~9999Hz 3. 显示方式: 4位十进制数显示 4. 时基电路由 555 定时器及分频器组成, 555 振荡器产生脉冲信号,经分频器分频产生的时基信号,其脉冲宽度分别为: 1s, 0.1s 5. 当被测信号的频率超出测量范围时,报警. -Measuring signal: square wave measurement frequency range: 1Hz ~ 9999Hz display: four d
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:166114
    • 提供者:姜宇凡
  1. Tx

    0下载:
  2. 主要是8201对音频的一些处理,包括分频和传送-8201 pairs of audio processing, including divider and transmission
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:1082
    • 提供者:赵生
  1. 75368_[www.ic5.cn]

    0下载:
  2. 74AC163可编程计数器用作分频精度高速度快-The 74AC163 programmable counters used for frequency accuracy of high-speed fast
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:91305
    • 提供者:云飞扬
  1. fenpinqisheji

    0下载:
  2. 实现分频功能,用VHDL语言实现,也可适当改变参数,实现任意分频-Implementation divider using VHDL, it may be appropriate to change the parameters to achieve any divide
  3. 所属分类:Document

    • 发布日期:2017-12-09
    • 文件大小:905
    • 提供者:张岳
  1. SWQJQ922

    0下载:
  2. VHDL语言 初始入门级教程分频器例程,50M分频为1S-The VHDL language initial entry-level tutorial
  3. 所属分类:software engineering

    • 发布日期:2017-12-03
    • 文件大小:183921
    • 提供者:
  1. key

    0下载:
  2. 使用DCM模块对输入时钟进行分频 扫描键盘获取键值 数码管点亮 蜂鸣器驱动-使用DCM模块对输入时钟进行分频 扫描键盘获取键值 数码管点亮 蜂鸣器驱动
  3. 所属分类:software engineering

    • 发布日期:2017-11-10
    • 文件大小:514435
    • 提供者:feng
  1. led

    0下载:
  2. LED 点阵点亮 对输入时钟进行分频,依次点亮相应的LED 灯-LED 点阵点亮 对输入时钟进行分频,依次点亮相应的LED 灯
  3. 所属分类:software engineering

    • 发布日期:2017-11-28
    • 文件大小:447629
    • 提供者:feng
  1. Generate-X-Y-signal

    0下载:
  2. 实现输入信号的移相,分频。并可在示波器上观察李萨育图。-To achieve a phase shift of the input signal, the frequency division. And outlook on the oscilloscope Lissajous sterile Figure.
  3. 所属分类:Project Design

    • 发布日期:2017-11-08
    • 文件大小:29025
    • 提供者:任宸莹
  1. Signal-1MHz-1pps

    0下载:
  2. 实现分频处理的一个模块。从输出的1MHz信号转化为1pps信号。-Achieve sub-frequency processing module. From the 1MHz signal output into 1pps signal.
  3. 所属分类:software engineering

    • 发布日期:2017-11-21
    • 文件大小:711
    • 提供者:马总
  1. tetris

    0下载:
  2. 俄罗斯方块游戏。可改变游戏速度,实现了最简单的功能,包含分频、按键防抖,可在8*8点阵上显示。-Tetris game. You can change the speed of the game, the most simple functions contains divider button image stabilization, 8* 8 dot matrix display.
  3. 所属分类:File Formats

    • 发布日期:2017-12-05
    • 文件大小:2286
    • 提供者:刘振东
  1. 2

    0下载:
  2. 关于FPGA的分频代码,是vhdl语言编写的,可能比较简单,但比较实用。-Divider code on the FPGA
  3. 所属分类:software engineering

    • 发布日期:2017-12-04
    • 文件大小:9801
    • 提供者:温州
  1. 123

    0下载:
  2. 利用互信息,进行基于互信息的图像超分频重建-A Mutual Information Based Sub-Pixel Registration Method for Image Super Resolution
  3. 所属分类:software engineering

    • 发布日期:2017-11-24
    • 文件大小:810515
    • 提供者:赵元瑞
  1. EDA

    0下载:
  2. 基于 CPLD/FPGA用原理图和VHDL语言混合设计实现了一多功能通用分频器。-CPLD/FPGA-based mixed schematic and VHDL language design and implementation of a multi-function universal divider.
  3. 所属分类:Project Design

    • 发布日期:2017-12-08
    • 文件大小:33383
    • 提供者:雨桐
  1. Verilog_DIV_P

    0下载:
  2. Verilog_实现任意占空比、任意分频的方法,很有用的时序关系-Verilog_ achieve any duty, arbitrary frequency method, useful for timing relationships
  3. 所属分类:File Formats

    • 发布日期:2017-12-06
    • 文件大小:13616
    • 提供者:王礼俊
  1. PLL_100M

    0下载:
  2. 实现pll分频功能倍频功能可得到fpga说需要的频率实现多的时钟输入-Multiplier pll divide function to achieve functionality available fpga said I need to achieve multi-frequency clock input
  3. 所属分类:Software Testing

    • 发布日期:2017-04-04
    • 文件大小:2873
    • 提供者:李安
  1. AD

    0下载:
  2. ad转换描述unsigned char i ADMUX = 0x67 /*基准AVCC、左对齐、通道7*/ ADCSRA = 0xC2 /*使能、开启、4分频*/ while(!(ADCSRA & (1 << ADIF))) /*等待*/ i = ADCH ADCSRA &= ~(1 << ADIF) /*清标志*/ ADCSRA &= ~(1 << ADEN) /*关闭转换*/ -unsig
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:689
    • 提供者:zhu
  1. fenpin_clk

    0下载:
  2. FPGA的分频设计,使用spartan 3e开发板50Mhz频率,包含LED灯环节-Divide the FPGA design, use spartan 3e development board 50Mhz frequency, including LED lights links
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:143420
    • 提供者:程建林
  1. fenpin

    0下载:
  2. 使用VHDL实现任意整数分频,包括原理以及Matlab程序。-Use VHDL to achieve arbitrary integer frequency, including schematics and Matlab program
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:21532
    • 提供者:白文静
  1. div_clk17

    0下载:
  2. 手写时中分频,17分频,用状态机写成,之欧诺个两个过程语句简单明了易懂-Handwritten carve frequency divider 17, the state machine languages, the two processes Uno a statement, jianji8e clear and understandable
  3. 所属分类:software engineering

    • 发布日期:2017-04-11
    • 文件大小:546
    • 提供者:LICHAO
  1. 001

    0下载:
  2. 分频器的四连体数码管显示源代码以及对其分析-The four-piece divider digital display source code and its analysis
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:12311
    • 提供者:陈一
« 1 2 34 5 6 7 »
搜珍网 www.dssz.com