CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 多路

搜索资源列表

  1. VHDL4545

    0下载:
  2. 多路彩灯控制原程序,是我自己经过改编的,希望多多指点 -more control program, I have adapted, to those instructions
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1407
    • 提供者:范围十分上
  1. QQQQQQQPLC

    0下载:
  2. 可编程控制器PLC的应用绝大部分都是通过编写梯形图的方式实现程序控制的,但对于智能低压开关系统来讲,其分、断的控制往往要求由主控操作室远程控制来完成。按传统的方法,主控室要对某一个开关柜进行操作,须先发一个控制命令,PLC接收到该控制命令后,再由PLC程序执行该命令,该段程序必须用梯形图或逻辑指令编程,当开关柜数目巨大或要求多路设备同时控制时,PLC的程序编写就比较复杂,尤其是采用脉冲型输出控制而不是用电平保持型控制时更是如此。因为开关柜的分、合控制须两路继电器输出分别控制“分闸”和“合闸”,而
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:37749
    • 提供者:wjq
  1. hgtfg

    0下载:
  2. 电路由CD4069六反相器和74LS30八输入一输出与非门,可控硅电路组成的六路断路,二路闭路多路报警器,-Circuit from CD4069 six inverter and a 74LS30 eight input-output and doors, SCR circuit composed of six road traffic, two-way closed-circuit multi-channel alarm,
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:6489
    • 提供者:猪猪
  1. multi_Remote

    0下载:
  2. 数字多路视频监控系统的设计与实现数字多路视频监控系统的设计与实现-digital multi-channel video monitoring system design and digital multi-channel video monitoring system design and implementation
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:209051
    • 提供者:吴森泉
  1. liquidlevelmeasuring

    0下载:
  2. 多路点滴速度控制与显示装置设计论文--能自动检测输液液位,并将各床位液位和输液速度信号传送至护办室,在护办室对多个病房各个床位的输液情况进行巡回检测和显示。当液位低于设定下限值,输液速度过高或过低,在护办室和相应床位处发出声光报警,提醒护理人员及时处理,采用一种变介电常数型电容式液位传感器。-multi-bit rate control and display design thesis -- can automatically detect infusion. and the Level be
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:250754
    • 提供者:风武
  1. 1553B

    0下载:
  2. 1553B总线是MIL-STD-1553总线的简称,其中B就是BUS,MIL-STD-1553总线是飞机内部时分制命令/响应式多路复用数据总线。1553B数据总线标准是20世纪70年代由美国公布的一种串行多路数据总线标准。1553B总线能挂31个远置终端,1553B总线采用指令/响应型通信协议,它有三种终端类型:总线控制器(BC)、远程终端(RT)和总线监视器(BM);信息格式有BC到RT、RT到BC、RT到RT、广播方式和系统控制方式;传输媒介为屏蔽双绞线,1553B总线耦合方式有直接耦合和
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:478642
    • 提供者:yuchen
  1. MAX4545

    0下载:
  2. 多路视频模拟开关MAX4545的技术文档.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:88347
    • 提供者:陈光荣
  1. Cyclone2_PCB_and_SCH

    1下载:
  2. 1,原创 cyclone 2开发板,希望能对FPGA电子爱好者有一点设计帮助。 2,本PCB可以与开发者自己的PCB实现扩展。 3,注意接口已经提供5v,-5v,+3.3v,+1.2v输出。 4,带一个LED显示器,多路拨动开关,一个复位健。 5,晶振源兼容5种封装,其中一种是支持9v、5W高精度恒温晶振。 6,fpga内部2个PLL相互连接可以实现0-200MHz内任意频率输出。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:529477
    • 提供者:M
  1. PowerRestrain

    1下载:
  2. 单端反激式开关电源具有结构简单、输入输出电气隔离、电压升/降范围宽、易于多路输出、可靠性高、造价低等优点,广泛应用于小功率场合。然而,由于漏感影响,反激变换器功率开关管关断时将引起电压尖峰,必须用钳位电路加以抑制。由于RCD钳位电路比有源钳位电路更简洁且易实现,因而在小功率变换场合RCD钳位更有实用价值。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:288672
    • 提供者:苏文
  1. duoludianhua

    0下载:
  2. 基于NIOS软核CPU技术的多路电话计费系统的设计与实现
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:36145
    • 提供者:fangjianwu
  1. AD8402

    0下载:
  2. 基于数字电位器AD8402的程控增益系统。 比较了程控增益放大器的各种不同构成方式,介绍了一种采用多路数字电位器AD8402的偏置可调程控增益系统,同时给 出了该系统的硬件原理和软件设计,并指出AD8402使用中的几个注意事项。该设计方案硬件结构简单,性价比高,具有一定的通用性。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:636344
    • 提供者:仙儿
  1. hfhfhhfhfhhfhf

    2下载:
  2. 一篇供开发参考的论文总结介绍了一种采用蓝牙技术的嵌入式多生理参数监护仪,能实时检测人体心电信号(ECG)、心率、血氧饱和度(SpO2)、血压和体温等多生理参数,是一种适用于社区医疗和面向家庭的新型多功能监护仪。该监护仪具有蓝牙无线通信功能,能够多路采集,LCD实时显示,二十四小时生理信息存储,生理参数统计分析与诊断,监督报警的功能。采用先进的16/32位RISC ARM7DMI为内核的S3C44B0X芯片和CSR公司单片BLUECORE2-EXTERNAL作为主控制处理器模块和蓝牙通信模块。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:31089
    • 提供者:xiaoxiao
  1. 给初学单片机的40个实验

    0下载:
  2. 1. 闪烁灯 2. 模拟开关灯 3. 多路开关状态指示 4. 广告灯的左移右移 6. 报警产生器 10. 00-99计数器 11. 00-59秒计时器(利用软件延时) 4×4键盘及8位数码管显示构成的电子密码锁 DS18B20数字温度计使用
  3. 所属分类:文档资料

  1. 基于CPLD的非多路复用与多路复用总线转换桥的设计与实现

    0下载:
  2. canbus 的资料很是有用
  3. 所属分类:文档资料

  1. 红外多路遥控系统

    0下载:
  2. 这是一篇word2003格式的关于红外遥控通信的文章,内容翔实,用语严谨。不同于很多PDF格式关于红外遥控的浮泛文章,对于明确相关术语、从事红外应用项目的工程师特别有帮助。
  3. 所属分类:文档资料

    • 发布日期:2010-12-24
    • 文件大小:524288
    • 提供者:zhinvxing1
  1. SIMCom Modules Multiparty Application Note

    0下载:
  2. SIMCOM GPRS 模块串口多路复用的应用笔记
  3. 所属分类:编程文档

  1. 用FPGA实现多路PWM输出的接口设计与仿真

    0下载:
  2. 用FPGA生成可调PWM
  3. 所属分类:文档资料

  1. ARM开发板多个3G模块移植

    0下载:
  2. 涉及ARM开发板的多个3G模块移植,实现ppp拨号上网,有助于3G/4G多链路聚合的研究(The transplantation of multiple 3G modules related to ARM development board and the implementation of PPP dial-up networking are helpful to the research of 3G/4G multi link aggregation)
  3. 所属分类:文章/文档

    • 发布日期:2018-01-05
    • 文件大小:27648
    • 提供者:ideaMan
  1. 基于ISO 14229协议的多路诊断刷新系统开发

    2下载:
  2. iso14229分析应用, 多路诊断系统开发。(ISO14229 protocal appliaction)
  3. 所属分类:文章/文档

    • 发布日期:2018-04-30
    • 文件大小:3637248
    • 提供者:大王巡山
  1. 基于PCIe的多路视频采集与显示子系统

    1下载:
  2. 视频采集与显示子系统可以实时采集多路视频信号,并存储到视频采集队列中,借助高效的硬实时视频帧出入队列管理和PCIe C2H DMA引擎,将采集到的视频帧实时传递到上位机采集缓冲区。 视频采集与显示子系统使用高效的PCIe H2C DMA引擎读取上位机显示缓冲区的视频帧,存储到视频显示队列中,并且可以借助外部输入的硬件显示定时脉冲实时访问视频显示队列,按照显示定时脉冲输出视频帧。 对于多路视频采集与显示子系统,在上位机可以使用标准的Linux V4L2视频驱动,实现多路视频信号的采集和显示工作
  3. 所属分类:编程文档

« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com