CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 时钟程序

搜索资源列表

  1. MultiForm

    0下载:
  2. 是用c++的多态性写的时钟程序。可以用来作为多态性教学的例程,同时也有一定的使用性,值得初学者用心阅读
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1.34kb
    • 提供者:董昕
  1. zhizhongzhongduan

    0下载:
  2. 本实验通过DOS功能调用编制和调试一个自制时钟程序,要求设置一个新的1ch中断处理程序并常驻内存,实现在屏幕右上角显示当时的时间:按XX:XX:XX形式显示,并且能正确进位,24小时应消零。同时,在显示时间时,DOS系统能正确处理各种命令,正确执行应用程序。
  3. 所属分类:软件工程

    • 发布日期:2014-01-17
    • 文件大小:115.35kb
    • 提供者:qq
  1. yulanClock

    0下载:
  2. 一个可以定时\\开关机\\提醒的界面语音时钟程序
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:222.41kb
    • 提供者:大漠
  1. SEREIL

    0下载:
  2. 内置串行时钟程序及原理,I2C串行总线模拟程序及原理
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:97.39kb
    • 提供者:黄夕
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. ds1302时钟程序详解

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2009-07-01
    • 文件大小:358kb
    • 提供者:peter120488
  1. 时钟显示

    0下载:
  2. 用单片机51控制显示 时,分,秒的程序
  3. 所属分类:文档资料

    • 发布日期:2011-05-08
    • 文件大小:39kb
    • 提供者:fengwutianya
  1. 电子时钟小程序

    0下载:
  2. 设计一款电子时钟的小程序,可以更改时间,移动光标
  3. 所属分类:编程文档

  1. 时钟芯片DS1302 的程序(C51程序)

    0下载:
  2. 模块名称:DS1302.c 功 能:实时时钟模块 时钟芯片型号:DS1302 程序设计:zhaojunjie
  3. 所属分类:编程文档

    • 发布日期:2012-04-09
    • 文件大小:34kb
    • 提供者:gdchenxl
  1. 1302时钟程序仿真

    0下载:
  2. 1302时钟芯片,能够在数码管上显示,能用按键调整时分秒,年月日。,1302 clock chip can be displayed in the digital control can use their keys to adjust when the minutes and seconds, date.
  3. 所属分类:软件工程

    • 发布日期:2017-03-24
    • 文件大小:1.83kb
    • 提供者:张瑞洋
  1. VB

    1下载:
  2. 从太平洋上下载下来的打包学习VB的教程。 》VB专区 ·Visual Basic 的数据库编程 ·使用VB建立Web Server   ·VB与Windows资源管理器互拷文件 ·VB查找文件的两种方法 ·利用VB设计打印复杂报表 ·在VB中播放AVI文件 ·在VB中引用.dbf及索引文件  ·VB5.0中实现字体闪烁效果 ·在VB应用软件中实现动画效果 ·VB编程中如何锁定鼠标 ·用VB实现关闭所有数据库对象 ·VB调用
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:376.99kb
    • 提供者:look
  1. 33-design-graduate

    0下载:
  2. 33个毕业设计——单片机类(水箱单片机控制系统 数字密码锁设计 电子时钟 基于GSM短信模块的家庭防盗报警系统……)-33 graduate design- single-chip type (single-chip control system tank locks Designed figure Electronics clock module based on GSM message home burglar alarm system ... ...)
  3. 所属分类:Project Design

    • 发布日期:2017-05-25
    • 文件大小:8.27mb
    • 提供者:王辉
  1. dpj

    0下载:
  2. 单片机控制交通灯设计 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本设计主要分为五大模块输入控制电路、时钟控制电路、片内外程序切换控制、显示电路。以MSC-51系列单片机IntelAT89C51为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红、绿灯、黄灯燃亮时间的功能;为了系统稳定可靠采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:232.46kb
    • 提供者:zx
  1. DS1302clock

    0下载:
  2. DS1302-制作的电子时钟程序汇编语言-DS1302making procedures of the electronic clock assembly language
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:36.39kb
    • 提供者:黄工
  1. DigitalClock

    0下载:
  2. 用c语言编写的数字时钟,有时分秒显示,并且时分秒都可调,误差较小。用AT89C51单片机连接数码管显示,程序用keil编写,实验仿真有protel99se实现,已验证成功-With the c language of the digital clock, sometimes minutes and seconds display, and when the minutes and seconds are adjustable, error smaller. AT89C51 microcontro
  3. 所属分类:File Formats

    • 发布日期:2017-04-04
    • 文件大小:49.28kb
    • 提供者:yao
  1. Clock

    0下载:
  2. 比较简单的指针式时钟程序,供初学者和有需要的人使用-Analog Clock relatively simple procedure, for both beginners and those who need to use
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:39.8kb
    • 提供者:没有
  1. 用VB编写简单的时钟程序

    0下载:
  2. 用VB编写简单的时钟程序.doc
  3. 所属分类:编程文档

    • 发布日期:2012-11-26
    • 文件大小:31kb
    • 提供者:coolhandy
  1. EDA-24秒倒计时程序

    0下载:
  2. 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。(The stopwatch timer is used in sports competitions and in various fields where requirements are more accurate. This timer is written in a VHDL langu
  3. 所属分类:文章/文档

    • 发布日期:2017-12-16
    • 文件大小:3kb
    • 提供者:白米粥
  1. 阿福源多功能六位电子时钟

    0下载:
  2. 6位电子钟单片机驱动程序可实现闹钟 计数 秒表 等功能(The 6 bit electronic clock MCU driver can realize the alarm clock counting stopwatch and so on)
  3. 所属分类:软件设计/软件工程

    • 发布日期:2018-04-29
    • 文件大小:2kb
    • 提供者:阿福源
  1. 汇编课程设计电子时钟

    0下载:
  2. 在Windows环境,开发一个时钟程序。要求: ① 图形化的时针、分针、秒针转动界面 ② 数字化的显示当前时间 ③ 有菜单项,用户通过菜单项来设置时钟的背景颜色、时针、分针、秒针的颜色等。
  3. 所属分类:编程文档

    • 发布日期:2020-11-01
    • 文件大小:1.85mb
    • 提供者:gwx1223
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com