搜索资源列表
Design_of_EMC_for_PCB
- :高速混合PCB 的电磁兼容性设计首要解决合理安排布局布线和接地问题。分析基频和高频谐波、信号上 升或下降速率,电路的等效分布参数,传导耦合、辐射耦合和不匹配线的辐射、串音干扰等。根据板层、电源平面、 时钟电路和高频电路的布线原则进行布局布线。接地选择单点或多点接地。
自动售货机VHDL程序与仿真
- library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
STC12C5A60S2.pdf
- STC12C5A60S2/AD/PWM系列单片机是宏晶科技生产的单时钟/机器周期(1T)的单片机,是高速/低功耗/超强抗干扰的新一代8051单片机,指令代码完全兼容传统8051,但速度快8-12倍。内部集成MAX810专用复位电路,2路PWM,8路高速10位A/D转换(250K/S),针对电机控制,强干扰场合。 1.增强型8051 CPU,1T,单时钟/机器周期,指令代码完全兼容传统8051; 2.工作电压:STC12C5A60S2系列工作电压:5.5V-3.3V(5V单片机)STC12LE5A
SPI-
- 模拟spi驱动flash SO:串行数据输出脚,在一个读操作的过程中,数据从SO脚移位输出。在时钟的下降沿时数据改变。 SI: 串行数据输入脚,所有的操作码、字节地址和数据从SI脚写入,在时钟的上升沿时数据被锁定。 SCK:串行时钟,控制总线上数据输入和输出的时序。 /CS :芯片使能信号,当其为高电平时,芯片不被选择,SO脚为高阻态,除非一个内部的写操作正在进行,否则芯片处于待机模式 当引脚为低电平时,芯片处于活动模式,在上电后,在任何操作之前需要CS引脚的一个从高
OV7690照相模组软件应用指南1.03
- 文件只能给那些获得OmniVision Technologies, Inc. 批准的员工、 组织、团体使用。 1 公司机密 OV7690 照相模组 软件应用指南 OV7690 照相模组 1.1 有完整ISP的后端 1.2 后端有YCbCr ISP 1.3 后端没有ISP 1.4 从一种输出格式到另一种输出格式的转换关系式 2. 选择输出方案 2.1 后端有ISP 2.2 后端没有ISP 3. 帧率调整 3.1 24Mhz 输入时钟,帧率的调整 30 fps, PCL
OV7690-Software-Guide
- OV7690 照相模组 软件应用指南文件只能给那些获得OmniVision Technologies, Inc. 批准的员工、 组织、团体使用。 公司机密 Table of Contents OV7690 照相模组 1.1 有完整ISP的后端 1.2 后端有YCbCr ISP 1.3 后端没有ISP 1.4 从一种输出格式到另一种输出格式的转换关系式 2. 选择输出方案 2.1 后端有ISP 2.2 后端没有ISP 3. 帧率调整 3.1
信号反弹作用下的3D-SIC过硅通孔的测试结构
- 3d sic三维堆叠集成电路(3D-SIC)主要采用过硅通孔技术来实现模块在垂直方向上的互连,但是硅通孔在制造过程或绑定后阶段都有可能出现失效,导致整个芯片无法正常工作。我们针对绑定后阶段硅通孔,利用信号在导体中传输的不可逆性,在信号接收端增加反弹模块,通过在发送端施加两次不同测试激励,利用触发器和多路选择器将两次输出结果进行异或,来达到测试目的。在4x4硅通孔逻辑块中,硅通孔单元面积是45x45um2,180nm CMOS工艺下,实验结果表明,测试结构面积和测试平均功耗分别减少59.8%和18
STC89C51RC-RDP_GUIDE-CHINESE
- STC89C52RC单片机介绍 STC89C52RC单片机是宏晶科技推出的新一代高速/低功耗/超强抗干扰的单片机,指令代码完全兼容传统8051单片机,12时钟/机器周期和6时钟/机器周期可以任意选择。 -STC89C52RC microcontroller introduced STC89C52RC MCU STC launched a new generation of high-speed/low power/super anti microcontroller, instructi
low-jitter-Clock-IC
- 每个数码系统之所以正常准确工作的基础是其心脏 – 时钟序列的无误. 而用来产生时钟信号的资源有许多种: 系统主芯片输出时钟信号, 以MCU微处理器来产生时钟, 以成本较低的晶振来产生时钟信号, 但是还是有很多人不知道或不了解我们还有另外一个选择:用一个集成电路PPL(锁相环)时钟芯片.-Each of the digital system is the reason why the normal work accurately based on the its heart- clock sequ
VHDL-traffic-light
- 交通信号控制器VHDL设计 1、设计一个南北方向为主干道,东西方向为支干道的; 2、选择一个标准时钟发生电路,为电路提供一个标准1HZ信号; 3、(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为50秒,支干道的绿灯时间为30秒; -Traffic signal controller VHDL design
clock
- 电子时钟 显示系统的时间,还可以选择时区来获得不同时区的时间-Electronic clock display system of the time, you can also select the time zone to get the time in different time zones
sports
- 设计一个运动计分器,技术指标如下: ①“计分器”LED数码管显示位为两位; ②“计分器”的十进数位仅为两位数,即十位数、个位数; ③“计分器”应具有“复位”或“置数”控制功能; ④“计分器”应具有“加1”和“减1”的累计运算功能; ⑤“键控”应有“运算选择”(A),“计数(加1或减1)”(B)和复位或清零(C)三个按键开关。 根据要求,我要设计一个两位数的运动计分器,要使它具有置零和置数功能,当我们给一个时钟信号的时候,我们可以给它加一或者减一,我们分别用三个开关
trafficLight
- 交通信号灯控制,对于时间间隔有两种方式,一种是时钟控制,一种是延时控制。选择何种方式通过宏定义选择-Traffic signal control, for the time interval in two ways, one is the clock control, one is the delay control. Choose how to select by the macro definition