CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 生成多项式

搜索资源列表

  1. CRC

    0下载:
  2.  本文提出一种通用的CRC 并行计算原理及实现方法,适于不同的CRC 生成多项式和不同并行度(如8 位、16 位、及32 位等) ,与目前已采用的查表法比较,不需要存放余数表的高速存储器,减少了时延,且可通过增加并 行度来降低高速数传系统的CRC 运算时钟频率.-In this paper, a universal principle of CRC and implementation of parallel computing methods for generating differ
  3. 所属分类:Project Design

    • 发布日期:
    • 文件大小:144382
    • 提供者:黑月
  1. CRC.txt

    0下载:
  2. 用查表法计算CRC码 C的程序设计,生成多项式为CRC-CCITT -CRC look-up table method using C programming code, generating polynomial for the CRC-CCITT
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:58338
    • 提供者:lfzxyy
  1. mxulie

    0下载:
  2. 在已知初始值的情况下,利用本源多项式生成m 序列-preducing m
  3. 所属分类:File Formats

    • 发布日期:2017-04-13
    • 文件大小:1963
    • 提供者:andy
  1. vhdl

    1下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)-
  3. 所属分类:文档资料

  1. vhdl

    1下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)--Design of VHDL algorithm for pseudo random sequence generator is a pseudorandom sequence generator, using the generating polynomial 1+X^3+X^7. RESET has a cli
  3. 所属分类:File Formats

    • 发布日期:2017-05-06
    • 文件大小:1304572
    • 提供者:沙爽
  1. 线性卷积编码的线形移位寄存器poly2trellis的解释

    1下载:
  2. 线性的卷积编码,基本的信道编码,可以由线性移位寄存器(即线性多项式)构成。 输出网格trellis表示由移位寄存器组成的网格装的卷积编码器,通过移位寄存器多项式生成,所以在Matlab中的函数叫做poly2trellis,也就是多项式poly-to-网格trellis,用来描述寄存器的结构方式。生成的trellis可以作为线形卷积编码函数convenc和或者其解码(如Viterbi解码函数vitdec)的输入。
  3. 所属分类:编程文档

    • 发布日期:2017-02-19
    • 文件大小:36864
    • 提供者:vvvivian
  1. crc16

    0下载:
  2. 信息交换内容为文本文件;通信信息交换通过共享文件实现编码要求:用模 2 除法计算 CRC 码,生成多项式为 CRC-16 能在两台计算机机上运行程序,一台产生 CRC 码,另一台校验。-Clearing the contents of a text file communications exchange achieved through shared document coding requirements: CRC code calculated by modulo-2 division,
  3. 所属分类:software engineering

    • 发布日期:2017-12-11
    • 文件大小:366314
    • 提供者:易航
  1. new 1.txt

    0下载:
  2. CRC8Java 校验代码CRC校验可以简单地描述为:例如我们要发送一些数据(信息字段),为了避免一些干扰以及在接收端的对读取的数据进行判断是否接受的是真实的数据,这时我们就要加上校验数据(即CRC校验码),来判断接收的数据是否正确。在发送端,根据要传送的k位二进制码序列,以一定的规则(CRC校验有不同的规则。这个规则,在差错控制理论中称为“生成多项式”。)产生一个校验用的r位校验码(CRC码),附在原始信息后边,构成一个新的二进制码序列数共k+r位,然后发送出去。在接收端,根据信息码和CRC码
  3. 所属分类:文章/文档

    • 发布日期:2017-12-16
    • 文件大小:1024
    • 提供者:宇宙-1
搜珍网 www.dssz.com