CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 电子钟 vhdl

搜索资源列表

  1. wwww

    0下载:
  2. 由vhdl设计的电子钟的程序
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:6054
    • 提供者:zhx
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. digclock.rar

    0下载:
  2. 多功能电子钟 报时 闹钟 设置时间,Multi-functional electronic time clock alarm clock setup time
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:342128
    • 提供者:耿康宁
  1. vhdl

    0下载:
  2. 6位LED电子钟,非常实用实做过实验,自动报时,秒表-6 LED electronic clock, very useful experiment is done, automatic timer, stopwatch. . .
  3. 所属分类:Document

    • 发布日期:2017-04-14
    • 文件大小:4230
    • 提供者:王睿
  1. zs_clock

    0下载:
  2. 基于VHDL语言设计的电子钟,综合运用EDA技术,完成一个多功能数字钟设计-VHDL language design based on the electronic clock, integrated use of EDA techniques to complete the design of a multi-functional digital clock
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:79268
    • 提供者:zs
  1. VHDL

    0下载:
  2. 基于vhdl的电子钟设计里边包含大概电路比较详细-Based on the electronic clock vhdl inside contains probably more detailed circuit
  3. 所属分类:software engineering

    • 发布日期:2017-11-26
    • 文件大小:237377
    • 提供者:方法
搜珍网 www.dssz.com