CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 脉冲 VHDL

搜索资源列表

  1. Arbi_PulseGen_vhd

    0下载:
  2. 利用fpga实现的任意脉冲波形产生器 很有用的vhd代码-FPGA realization of the arbitrary use of pulse generator vhd useful code
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:1169
    • 提供者:
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. juzhenqiuni_FPGA

    0下载:
  2. RMMSE雷达脉冲压缩快速算法中矩阵求逆的FPGA实现-RMMSE radar pulse compression fast algorithm for FPGA implementation matrix inversion
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:366702
    • 提供者:lq
  1. VHDL_design

    1下载:
  2. 本综合实验包括节拍脉冲发生器、键盘扫描显示和八位二进制计数器三个模块。采用VHDL语言为硬件描述语言,Xilinx ISE 10.1作为开发平台,所开发的程序通过调试运行验证,初步实现了设计目标。-This includes comprehensive experimental beats pulse generator, display and keyboard scan eight binary counter three modules. Using VHDL as the hardwar
  3. 所属分类:software engineering

    • 发布日期:2017-03-24
    • 文件大小:90771
    • 提供者:陈芳
搜珍网 www.dssz.com