CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 自动售货机系统

搜索资源列表

  1. ddddQUA

    1下载:
  2. 这部分阐述了自动售货机的各种动作功能和控制要求,给出了完整的自动售货机操作规程,并介绍了自动售货机运行系统种所包括的人工操作步骤
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:383595
    • 提供者:陆志平
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. AutomatSystemRequirementSpecification

    0下载:
  2. 软件工程项目小组的自动售货机系统需求规格说明书。-Software engineering project team vending machine system requirements specification.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:559273
    • 提供者:baby
  1. Vendingmachine

    0下载:
  2. 自动售货机控制系统设计思路及VHDL控制程序 -Vending machine control system design concept and control procedures VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:90806
    • 提供者:Section
  1. Vending-machine-control

    0下载:
  2. 自动售货机控制系统的设计与实现 有部分原理图-Design and implementation of vending machine control system are part of the diagram
  3. 所属分类:Project Design

    • 发布日期:2017-05-19
    • 文件大小:5389114
    • 提供者:zhuhh
  1. eda

    0下载:
  2. 基于VHDL语言的自动售货机系统设计方案,内部有仿真结果和具体程序,给有需要的人-Vending machine system design based on VHDL, simulation results and specific internal procedures, to people in need
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:135068
    • 提供者:陈峰
搜珍网 www.dssz.com