搜索资源列表
ddddQUA
- 这部分阐述了自动售货机的各种动作功能和控制要求,给出了完整的自动售货机操作规程,并介绍了自动售货机运行系统种所包括的人工操作步骤
自动售货机VHDL程序与仿真
- library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
AutomatSystemRequirementSpecification
- 软件工程项目小组的自动售货机系统需求规格说明书。-Software engineering project team vending machine system requirements specification.
Vendingmachine
- 自动售货机控制系统设计思路及VHDL控制程序 -Vending machine control system design concept and control procedures VHDL
Vending-machine-control
- 自动售货机控制系统的设计与实现 有部分原理图-Design and implementation of vending machine control system are part of the diagram
eda
- 基于VHDL语言的自动售货机系统设计方案,内部有仿真结果和具体程序,给有需要的人-Vending machine system design based on VHDL, simulation results and specific internal procedures, to people in need