CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 自动完成

搜索资源列表

  1. OA_Document

    0下载:
  2. OA办公自动化系统标准版的功能模块覆盖了所有企业级用户的业务应用。能够协助企业员工进行日常办公管理,将企业机构内部的业务流程制度化、规范化,自动完成信息传递,减少事务消耗,实现项目监控,创造协同办公环境,是企业提高管理效率,降低运作成本,增强长期竞争力的得力助手。-OA system standard version of the functional modules, covers all enterprise-level users of business applications. To
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:252741
    • 提供者:韦朝夺
  1. oa_word

    0下载:
  2. Coffice协同办公管理系统标准版的功能模块覆盖了所有企业级用户的业务应用。能够协助企业员工进行日常办公管理,将企业机构内部的业务流程制度化、规范化,自动完成信息传递,减少事务消耗,实现项目监控,创造协同办公环境,是企业提高管理效率,降低运作成本,增强长期竞争力的得力助手。-Coffice synergies office management system standard version of the module covers all enterprise-level business
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:7396605
    • 提供者:55
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. 超市贵宾卡管理系统

    0下载:
  2. 本系统在满足贵宾卡的基本管理功能基础上,注意发挥信息系统的自动化,以减轻管理人员工作负担,相关数据的计算都由系统自动完成,用户和管理员注重做一些查询即可.
  3. 所属分类:软件工程

    • 发布日期:2011-05-22
    • 文件大小:1272440
    • 提供者:buerzhouzhu
  1. jianghupaodianyuanma

    0下载:
  2. 一个在“江湖”游戏里面泡点的程序,该程序手动选择浏览器的HANDLE,然后自动完成打怪、发言等功能。-err
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:1632
    • 提供者:毛毛
  1. VS2005_AVR

    0下载:
  2. VS2005 的IDE 的确非常棒,无论是代码高亮、代码折叠、自动缩进、自动完成等功能都做得非常人 性化,用起来得心应手,大大加快开发速度。埋怨“ImageCraft IDE for ICCAVR”不够人性化的用户得到 了解脱,可以尝试使用VS2005 进行开发,让你编写的代码优雅起来!-VS2005' s IDE is indeed great, whether it is code highlighting, code folding, automatic indentatio
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:903035
    • 提供者:wujiuxin
  1. developmentchannelflow-meter

    0下载:
  2. 采用水压传感器、 流速传感器等器件的明渠流量测量仪表能自动完成流态判别、 流 量公式和流量参数选择, 实时获得明渠流量。具有成本低、 精度高、 安装使用简便、 可广泛应用等优点。-The use of pressure sensors, flow sensors, such as open channel flow measurement devices instrument can automatically determine the completion of flow, flow r
  3. 所属分类:Document

    • 发布日期:2017-04-24
    • 文件大小:89367
    • 提供者:linfeng
  1. gtkInstall

    0下载:
  2. 这是我自己写的一个Gtk+2.18与directfb1.2自动安装脚本,由于源码包太大,下载者自己去下载吧,按脚本的版本找到,解压放到指定位置,运行./install.sh即可自动完成,或者你可以修改脚本。-This is my own to write a Gtk+2.18 and directfb1.2 automatically install scr ipt, as the source packages too large to download to download it them
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:66732
    • 提供者:黄健
  1. guanguan

    0下载:
  2. 关关采集器 一个可以采集小说的软件,可以快速的采集。自动完成-Customs clearance collector collecting a novel software, the acquisition quickly. Auto-complete
  3. 所属分类:File Formats

    • 发布日期:2017-05-12
    • 文件大小:2777769
    • 提供者:王刚
  1. shiyan

    0下载:
  2. 利用队列的先进先出特性解决火车重排问题,输入车厢长度及顺序编号后由程序自动完成排列-Characteristics of the use of FIFO queues to solve the train rearrangement problem, enter the compartment length and serial numbers automatically after the completion of arrangement
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:226387
    • 提供者:silver
  1. ReverseCPP

    0下载:
  2. 本文分析在反汇编时如何手工识别c++对象,进而讨论如何自动完成这一分析过程最终介绍我们自己开发的自动化工具,一步一步的帮助读者掌握逆向c++程序的一些方法-This article analyzes how to manually identify disassembly c++ object, and then discuss how to automate this analysis process ultimately describes the development of our au
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:919871
    • 提供者:
  1. CopenCV

    0下载:
  2. 找最新的下就行了,傻瓜式安装,选择目录后自动完成安装,然后提示安装VS2008和VS2010的插件,我使用的是 VS2010,然后完成操作。-Find the latest on the line, fool installation, select the directory to complete automatically after installation, and then prompts to install the VS2008 and VS2010 plugin, I am
  3. 所属分类:Project Manage

    • 发布日期:2017-04-06
    • 文件大小:844404
    • 提供者:zzf
  1. APDL-DETAILED

    0下载:
  2. APDL即ANSYS参数化设计语言(ANSYS Parametric Design Language),它是一种解释性语言,可用来自动完成一些通用性强的任务,也可以用于根据参数来建立模型。-APDL ANSYS Parametric Design Language (ANSYS Parametric Design Language) is an interpreted language can be used to automate some of the versatility of the
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:447467
    • 提供者:栾兴亮
  1. picture_recover

    0下载:
  2. 一个碎条形纸片的拼接程序,能准确识别并自动完成图像的拼接-A strip of paper broken stitching program that can accurately identify and automatically complete the mosaic image
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:164256
    • 提供者:汪连松
  1. PageSubmit-v3.701

    0下载:
  2. 通过akd实现计算机在无人的情况下自动完成adk慢都的完成-enf3i dswwu4jedjq
  3. 所属分类:Project Design

    • 发布日期:2017-05-27
    • 文件大小:9252474
    • 提供者:dnfkeo
  1. DNFzidongjingxiang

    0下载:
  2. 2015年12月1日DNF最新无需进图自动完成镜像任务达到刷经验胶囊和材料的目的-On December 1, 2015 DNF need not into the latest figure automatically mirror task to achieve the purpose of brush experience capsule and materials
  3. 所属分类:software engineering

    • 发布日期:2017-04-15
    • 文件大小:6379
    • 提供者:付清
  1. 坐标点展点程式

    0下载:
  2. 批量坐标自动计算格式,只手动输入坐标,其他数据EXCEL自动完成,实用于初学者。
  3. 所属分类:文件格式

  1. ACS自动监测配置管理系统-通用

    1下载:
  2. 注册认证接口:设备注册认证接口主要完成家庭网关注册认证功能,JSMS依据家庭网关信息,调用其它接口内容,完成软件升级、参数配置(包括设备和业务的参数)等操作。 参数监视接口:参数监视接口主要完成JSMS对家庭网关参数模型的获取,实时数据、性能参数等的获取,以及参数变更上报的设置(Notification)及家庭网关参数值变更后上报等功能。 参数配置接口:参数配置接口主要完成JSMS对家庭网关的参数配置(支持SetParameterValues和配置文件方式),家庭网关配置文件的上传,配置家庭
  3. 所属分类:文章/文档

    • 发布日期:2019-07-25
    • 文件大小:4405248
    • 提供者:shaoxg619
  1. Python编程快速上手__让繁琐工作自动化

    2下载:
  2. 本书是一本面向实践的Python 编程实用指南。本书的目的,不仅是介绍Python语言的基础知识,而且还通过项目实践教会读者如何应用这些知识和技能。本书的第一部分介绍了基本的Python 编程概念,第二部分介绍了一些不同的任务,通过编写Python 程序,可以让计算机自动完成它们。第二部分的每一章都有一些项目程序,供读者学习。每章的末尾还提供了一些习题和深入的实践项目,帮助读者巩固所学的知识。附录部分提供了所有习题的解答。(This book is a practical guide to pr
  3. 所属分类:文章/文档

    • 发布日期:2019-09-18
    • 文件大小:12996608
    • 提供者:时光机灬
  1. Bracing Split

    1下载:
  2. 完成TEKLA自动出零件图,解放双手。解压后放到C盘。(Complete Tekla automatic parts drawing, free hands)
  3. 所属分类:软件工程

    • 发布日期:2021-02-19
    • 文件大小:320512
    • 提供者:拓衣
« 12 3 4 »
搜珍网 www.dssz.com