CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 驱动程序

搜索资源列表

  1. 5956496StepMotor0

    1下载:
  2. 步进电机驱动程序,对步进电机驱动、伺服电机驱动的初学者有一定帮助-Stepper motor driver, stepper motor drive, servo motor drive to some extent help beginners
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:844
    • 提供者:skyriver
  1. aaabb

    0下载:
  2. 16×16LED点阵屏原理图及驱动程序2009-11-29 19:56 这是我玩LED点阵屏的第一块电路板,也是学习单片机入门的第一个实验器材-16 × 16LED dot-matrix screen schematics and drivers 2009-11-29 19:56 This is what I play the first one LED dot matrix display circuit board, but also started the first one to lea
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:2745
    • 提供者:anan
  1. iFix_Drive_Development

    0下载:
  2. 对OPC 技术进行介绍, 并且结合实际的开发和应用, 给出一种基于OPCToolKit 工具包开发iFix 工控组态软件与国产 监控系统之间进行通讯的驱动程序的实现方法-Based on OPCToolkit development software,design IFix software to communicate with SCADA utilizing communication drive.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:97455
    • 提供者:
  1. CH341

    0下载:
  2. CH341资料全集,包括芯片资料,开发板,驱动程序等-CH341 Data Collection, including the chip data, development board, driver, etc.
  3. 所属分类:File Formats

    • 发布日期:2017-04-09
    • 文件大小:2299376
    • 提供者:suyun
  1. ReseacrhOfAPPlieationOfEmbeddedSPeeehRecognitionon

    0下载:
  2. 本文首先介绍了目前语音识别的发展现状和主要手段,分析了语音识别中所采用的主要特征参数和比较前沿的研究方向,另外着重讲解了语音识别中最常用隐马尔可夫H(MM)模型,及应用广泛的矢量量化方法(VQ)。接着介绍了嵌入式平台,从软、硬件方面着重介绍了与语音识别相关部分的设计包括硬件及相关驱动程序设计,最后介绍了系统实现方法与测试结果。 -This paper describes the current status of the development of speech recognition a
  3. 所属分类:Project Design

    • 发布日期:2017-05-20
    • 文件大小:6029848
    • 提供者:fff
  1. the_architecture_of_802.11_driver

    0下载:
  2. 802.11驱动程序结构,介绍linux操作系统中802.11驱动程序-the architecture of 802.11 driver
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:147320
    • 提供者:yaobo
  1. avi

    0下载:
  2. 微软的音频/视频交错(AVI)文件格式是一种RIFF格式的文件规范与应用,捕捉,编辑使用和播放音频/视频序列。一般情况下,AVI文件包含了不同类型的数据多个流。大多数AVI序列将同时使用音频和视频流。一个简单的AVI序列变异使用视频数据,不需要音频流。专门阿维序列可能包括控制赛道作为额外的数据流或MIDI音轨。控制轨道可以控制,如一个MCI光碟机的外部设备。在MIDI轨道可以发挥的顺序的背景音乐。虽然专门序列需要专门的控制程序,利用它的全部功能,应用程序,可以阅读和发挥阿维序列仍然可以阅读和发挥
  3. 所属分类:File Formats

    • 发布日期:2016-01-25
    • 文件大小:12068
    • 提供者:江涛
  1. Amplifier

    0下载:
  2. AD9214的基本驱动程序,及数据手册中所讲的基本功能。-AD9214 basic drivers, and data in the manual about the basic functions.
  3. 所属分类:Software Testing

    • 发布日期:2017-05-29
    • 文件大小:11376876
    • 提供者:小倩
  1. EmbeddedLinuxDriver16.4-NIC.pdf

    0下载:
  2. 了解以太网的发展历程 掌握以太网的连接方式、拓扑结构、传输介质和通信方式 掌握以太网的帧结构以及 TCP/IP 网络的结构 了解嵌入式以太网中常用的网络协议 了解嵌入式扩展以太网的常用芯片和它们各自的特点,掌握嵌入式系统中设计以太 网接口的方法 掌握嵌入式 Linux 中以太网驱动程序的结构,理解 NE2000 兼容网卡的驱动程序 了解 socket 网络编程原理 能够利用套接字编写简单的网络应用程序 -linux driver programing
  3. 所属分类:Development Research

    • 发布日期:2017-03-28
    • 文件大小:532520
    • 提供者:部廷夏宰
  1. 1602

    0下载:
  2. led1602汉字显示驱动程序,用于驱动1602液晶
  3. 所属分类:software engineering

    • 发布日期:2017-04-13
    • 文件大小:1560
    • 提供者:wanganping
  1. 200636122228

    0下载:
  2. 利用凌阳单片机实现电机驱动程序,通过多电机pwm波形占空比的调整,实现对电机速度的控制!-Sunplus MCU using motor driver, through the multi-motor pwm waveform duty cycle adjustment, the motor speed control!
  3. 所属分类:File Formats

    • 发布日期:2017-05-14
    • 文件大小:3137259
    • 提供者:zhaojing
  1. 11912930test111

    0下载:
  2. ds1302arm7的驱动程序!共享一下啊,呵呵呵,共同学习-ds1302arm7 driver! Share what ah, Oh Oh, learn together! ! !
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:10955
    • 提供者: 赵晓宁
  1. usb2812

    1下载:
  2. TDS2812EVMB的USB驱动程序,可以参考-TDS2812EVMB the USB driver, refer to
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:16679
    • 提供者:niuqy
  1. daima

    0下载:
  2. 是一个驱动程序代码中的部分代码,主要用于保证某些驱动程序代码完整性-Is a driver in the code of the code used to ensure that the main, some drivers code integrity
  3. 所属分类:Document

    • 发布日期:2017-04-16
    • 文件大小:15430
    • 提供者:王亮
  1. PCI_WDM

    0下载:
  2. PCI设备的WDM驱动程序开发,介绍了整个流程和一些注意事项-PCI WDM device driver development, describes the process and some notes
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:122742
    • 提供者:鹿欣
  1. ser_smdk2440

    0下载:
  2. ARM串口驱动程序,基于ARM S3C2240-ARM serial driver, based on ARM S3C2240
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:2119
    • 提供者:tony
  1. 8051

    0下载:
  2. 介绍了CYPRESS公司生产的主/从双工作模式USB接口芯片SL811HS的性能与内部结构,给出了SL811HS芯片在单片机系统中扩展USB接口的硬件电路,同时给出了该系统的USB驱动程序及实现U盘读写功能的应用程序。 -CYPRESS company introduced the master/slave USB interface chip dual-mode performance and internal structure SL811HS given SL811HS chip micr
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:180443
    • 提供者:tt
  1. USB

    0下载:
  2. 提出了一种基于单片机的智能仪表扩展USB接口的方法。介绍了USB接口芯片SL811 HS的结构和性能以及USB接口的硬 件电路图,详细分析了USB接口驱动程序的设计方法及FAT16文件系统的结构。利用SCSI传输命令集,通过BULK-IN和BULK-OUT 端点实现了主机与U盘设备之间的数据通信。实验和应用结果表明,该方案具有控制方便、传输速度快、存储数据稳定可靠等优点。-A microcontroller based intelligent instrumentation extend
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:295202
    • 提供者:tt
  1. usbasp.2009-02-28

    0下载:
  2. 单片机读写优盘的驱动程序,单片机可以通过U盘获取大量的数据。-Microcontroller to read and write USB driver, U disk MCU can access large amounts of data
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:269099
    • 提供者:gao
  1. DS1302

    0下载:
  2. 基于51,ds1302驱动程序。在使用前请先更改 端口-ds1302driver
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:1317
    • 提供者:杨宗来
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 35 »
搜珍网 www.dssz.com