CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 4

搜索资源列表

  1. Qt4_tslib

    0下载:
  2. qt/embedded 4.5 加上tslib1.4建立 可成功在目標版上建立qte開發環境及tslib觸碰-qt/embedded 4.5 plus tslib1.4 can be successfully established in the target version of the establishment of the development environment and tslib touch qte
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:11821
    • 提供者:nathan
  1. Lecture4_Stack-4

    0下载:
  2. Introduction data structure#4
  3. 所属分类:Development Research

    • 发布日期:2017-04-02
    • 文件大小:126014
    • 提供者:mamgmo
  1. product_final

    0下载:
  2. program for multiplication of two 4 bit binary numbers... If you have any doubt,then mail me at prem_bombay@yahoo.co.in -program for multiplication of two 4 bit binary numbers... If you have any doubt,then mail me at prem_bombay@yahoo.co.in
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:758
    • 提供者:Sumit
  1. ISO-IEC7816-4

    0下载:
  2. 原版只能CPU卡标准ISO/IEC7816-4-Only original CPU card standard ISO/IEC7816-4
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:616184
    • 提供者:rainingfore
  1. qt-embeddedbuild

    0下载:
  2. qte 4的环境搭建,由于网上的资料写的不是很详细,搭建过程中很多人没能成功,我写了一份比较详细的资料出来-qte 4 environment to build, because online writing is not very detailed information, set up the process, many people are not successful, I wrote a more detailed information becomes available,
  3. 所属分类:Document

    • 发布日期:2017-04-05
    • 文件大小:1932
    • 提供者:陈彪
  1. SetupDVDDecrypter_3.5.4.0

    0下载:
  2. DVD Decrypter_3.5.4.0
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:878673
    • 提供者:Jair
  1. svnbook-1.4-final-zh[1]

    1下载:
  2. Subversion 权威指南。 本书是为了那些在计算机领域有丰富知识,并且希望使用Subversion管理数据的人士准备的。-Subversion authoritative guides. This book is for those who have a wealth of knowledge in the computer field, and want to use Subversion those who manage data preparation.
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:1594142
    • 提供者:soyoyo
  1. ALU

    0下载:
  2. Write an 8085 ALP to design a 4-bit ALU. The ALU should be able to perform addition, subtraction, AND operation, OR operation on 4-bit inputs based on the desired operation
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:752
    • 提供者:debojit
  1. Standard_Ecu_Reprogramming-4(Bootloader_Mechanism

    0下载:
  2. This document is a part of Standard ECU reprogramming specification package (for Nissan, Renault, Peugeot and Citroë n). This package is divided into five parts, consistent to each others. This is part 4: Boot-loader mechanisms
  3. 所属分类:Communication

    • 发布日期:2017-04-17
    • 文件大小:274965
    • 提供者:Dmitry Makeev
  1. vdhl

    0下载:
  2. 4*4键盘设计,能运行,是我自己编译的,是初学者的工具-4* 4 keyboard design, can run my own compilation, is a tool for beginners
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:1793
    • 提供者:max
  1. interpred

    0下载:
  2. H.264 / MPEG-4 Part 10 White Paper Prediction of Inter Macroblocks in P-slices-H.264/MPEG-4 Part 10 White Paper Prediction of Inter Macroblocks in P-slices
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:43411
    • 提供者:NAG
  1. 4

    0下载:
  2. 飞逝卡尔智能车制作全套ppt文当,吃文件为第4章-Flies Carl Smart car produced a full set of the text when ppt, eat file Chapter 4
  3. 所属分类:Project Manage

    • 发布日期:2017-04-16
    • 文件大小:249459
    • 提供者:袁志成
  1. retro_4y5

    0下载:
  2. quiz 4 y quiz 5 ssd1 icarnegie
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:130481
    • 提供者:shock
  1. httpd-2.2.4.tar

    0下载:
  2. php6,英文版,从开发环境搭建讲起,通俗易懂-php6, web development
  3. 所属分类:software engineering

    • 发布日期:2017-05-21
    • 文件大小:6365535
    • 提供者:wen
  1. bluez-4.57

    0下载:
  2. linux下的Bluez-4.57蓝牙电话参考资料-the Bluez-4.57 under linux Bluetooth phone reference
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:1105338
    • 提供者:王嘉朔
  1. ZigBeeQuickRef

    0下载:
  2. ZigBee和IEEE802.15.4 为无线传感网络提供所需要的网络基础设施,本文帮助大家快速了解ZigBee的知识。-ZigBee and IEEE802.15.4 for wireless sensor networks to provide the required network infrastructure, this article help you quickly understand the ZigBee knowledge.
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:785511
    • 提供者:jack
  1. ShortMessagePeertoPeerProtocolSpecificationv3.4.zi

    0下载:
  2. 短消息点对点协议,smpp(Short Message Point to Point Protocol)3.4版-Short Message Point to Point Protocol, smpp3.4 version
  3. 所属分类:Communication

    • 发布日期:2017-03-24
    • 文件大小:478512
    • 提供者:mjymjy
  1. K9GAG08U0M_1.4

    0下载:
  2. 1G x 8 Bit / 2G x 8 Bit NAND Flash Memory Samsung K9GAG08U0M SPEC.-1G x 8 Bit/2G x 8 Bit NAND Flash Memory Samsung K9GAG08U0M SPEC.
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:1207862
    • 提供者:jack
  1. FPGA_DSP

    1下载:
  2. Virtex-II Pro _ Virtex-II Pro X 完整数据手册(包含全部4个模块);XtremeDSP开发套件Pro用户指南;及如何利用ML300 Virtex-II Pro开发系统着手开始搭建系统。-Virtex-II Pro _ Virtex-II Pro X Full Data Sheet (includes all four modules) XtremeDSP Development Kit Pro User Guide and how to use the ML30
  3. 所属分类:Project Design

    • 发布日期:2017-05-22
    • 文件大小:7115514
    • 提供者:福东方
  1. 4

    0下载:
  2. 用STARES598PCI单板开发机设计一个应用接口芯片作为四个七段LED数码管的输出口,编写一段程序,使8个LED数码管依次闪烁左移显示从输入口的逻辑开关读入的4位数字,闪烁频率为每秒一次。其外,还需实现一些附加功能,比如:可控制左移还是右移;可重新输入另外一个四位数;输入时可回显;以及输入时的十六进制到十进制的转换的等问题。 由此可见,要实现以上的功能,需要8个7段LED数码管和逻辑开关,闪烁的频率为1s。从开关读数,用LED显示。 -Machine Design STARES598
  3. 所属分类:Document

    • 发布日期:2017-04-17
    • 文件大小:103057
    • 提供者:
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com