CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 9

搜索资源列表

  1. 9

    0下载:
  2. 这是一本关于均值聚类的幻灯片文件,很好也很不错
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:164392
    • 提供者:邢志恒
  1. ST7787

    0下载:
  2. ST7787 芯片的SPEC,比亚迪2.4inchLCM的SPEC。The ST7787 is a single-chip controller/driver for 262K-color, graphic type TFT-LCD. It consists of 720 source line and 320 gate line driving circuits. This chip is capable of connecting directly to an external micr
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3351319
    • 提供者:gordonyjh
  1. hnsd

    1下载:
  2. 湖南师大虚拟校园的初步实现* 摘 要: ArcGIS9.0是美国环境系统研究所开发的新一代GIS软件,是世界上应用广泛的GIS软件之一,是我国GIS领域常用的商业软件,其功能十分强大。通过收集资料、资料预处理、矢量数字化、建立三维模型、导入模型、建立三维可视化等一系列步骤,利用ArcGIS 9.0软件,对湖南师范大学北校区虚拟校园进行了初步实现。通过虚拟校园,可将现实校园的各项资源数字化形成一个数字空间,实现学校的教学、科研、管理、服务等活动的全部过程,从而达到提高教学质量、科研水平、
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:725430
    • 提供者:jzw
  1. UML_gaokai

    1下载:
  2. (1)入库管理 (2)入库退回管理 (3)领用出库管理 (4)领用出库管理 (5)物资报损 (6)物资盘点 (7)各领用单位物资耗用 (8)出入库汇总 (9)报损汇总 (10)耗用汇总 (11)物资库存情况 (12)各领用单位库存情况
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2191779
    • 提供者:dhx
  1. Qtopia_new1

    0下载:
  2. 不知为何,在rh9下编译有警告,但是可以正常编译出结果 HOST 环境(虚拟机VM6.0): 系统:redhat 9 完全安装 交叉工具arm 2.95.3 TARGET环境 arm 2410 240*320屏外加触摸屏 cramfs文件系统 软件: cross-2.95.3.tar.bz2 //交叉编译器 qtopia-free-src-2.2.0.tar.gz //qtopia e2fsprogs-1.35.tar.gz //
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:12688
    • 提供者:han
  1. AES介绍

    0下载:
  2. 1997年9月,NIST征集AES方案,以替代DES。 1999年8月,以下5个方案成为最终候选方案:MARS, RC6, Rijndael, Serpent, Twofish。 2000年10月,由比利时的Joan Daemen和Vincent Rijmen提出的算法最终胜出。( Rijndael 读成Rain Doll。) http://www.esat.kuleuven.ac.be/~rijmen/rijndael/-September 1997, NIST AES assembly pr
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:68456
    • 提供者:
  1. 普华项目管理

    0下载:
  2. Module 1: 项目管理基本概念 Module 2: 项目管理流程 Module 3: 信息技术战略方法 Module 4: 业务流程方法 Module 5: 里程碑目标计划 Module 6: 职责 Module 7: 综合项目计划 Module 8: 七个要素 Module 9: Team 介绍-Module 1 : project management basic concepts Module 2 : Project Management Process Module 3 : inf
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2636786
    • 提供者:金娟
  1. 防火墙与入侵检测课程设计

    2下载:
  2. 目录 1 引言... 1 1.1      防火墙的基本工作原理... 1 1.1.1 防火墙技术分类... 1 1.1.2 包过滤防火墙... 1 1.1.3应用网关防火墙... 2 1.1.4 状态检测防火墙... 2 1.2 入侵检测系统原理... 2 1.2.1 按入侵检测的主要技术分类... 3 1.2.2 按入侵检测系统的数据来源分类... 3 2 本系统的网络拓扑图及IP地址规划... 4 2.1 网络拓扑图.
  3. 所属分类:其它文档

    • 发布日期:2009-01-16
    • 文件大小:653824
    • 提供者:aideyongheng
  1. 物流管理系统

    3下载:
  2. 系统任务描述:为物流公司管理货物信息及其流转信息,管理线路、站点信息、费用标准,为分公司提供方便有效的分检和选派车辆的功能。 任务目标: 1、 物流公司信息管理 2、 分站点信息管理 3、 收费标准信息管理 4、 线路信息管理 5、 货物信息管理 6、 包装材料信息管理、 7、 职工信息管理 8、 按目的站点分检 9、 按线路分检点 10、 选择到达分站点的车辆 11、 选择到达目的站点的车辆 12、 根据可载量和速度选择车辆分配货物 13、 货运信息管理 14、
  3. 所属分类:文档资料

  1. 图书管理系统

    0下载:
  2. 图书管理系统 VC++ 第一章 问题提出与可行性研究 1 1.1 图书馆管理的价值及功能 1 1.2 可行性研究 1 1.3 系统流程 1 第二章 软件需求分析 2 2.1 功能需求 2 2.2 模糊评判需求 3 2.3 数据字典 3 2.4 重要的加工说明 6 2.5 外部接口需求 7 2.6 性能需求 7 2.7 软件属性需求 7 第三章 软件设计 8 3.1 顶层软件设计 8 3.2 登录层软件设计 9 3.3读者功能层设计 9 3.4 日常工作功能层设计
  3. 所属分类:文档资料

  1. MFC程序员的WTL指南

    1下载:
  2. 序言 3 第一章ATL 界面类 4 1.1、对本书的总体介绍 4 1.2、对这一章的简单介绍 5 1.2.1、ATL 背景知识 ATL 和 WTL 的发展历史 5 1.2.2、ATL风格模板 6 1.3、ATL 窗口类 8 1.3.1、定义一个窗口的实现 8 1.3.2、填写消息映射链 9 1.3.3、高级消息映射链和嵌入类 11 1.3.4、ATL程序的结构 13 1.3.5、ATL中的对话框 15 第二章WTL 界面基类 18 2.1、WTL 总体印象 18 2.
  3. 所属分类:文档资料

  1. GB2312编码汉字显示编程.doc

    0下载:
  2. Normal 0 7.8 磅 0 2 false false false MicrosoftInternetExplorer4 /* Style Definitions */ table.MsoNormalTable {
  3. 所属分类:编程文档

    • 发布日期:2008-12-23
    • 文件大小:31232
    • 提供者:jackielau
  1. DOS下的点阵汉字显示.doc

    0下载:
  2. Normal 0 7.8 磅 0 2 false false false MicrosoftInternetExplorer4 /* Style Definitions */ table.MsoNormalTable {
  3. 所属分类:编程文档

    • 发布日期:2008-12-23
    • 文件大小:33792
    • 提供者:jackielau
  1. 银行计算机管理系统可行性分析

    0下载:
  2. 1 引言 ………………………………………………………………. 3 1.1 编写目的 …………………………………………………………………….. 3 1.2 背景 ………………………………………………………………………….. 3 1.3 参考资料 …………………………………………………………………….. 3 2 可行性研究的前提 ......................................................................... 3
  3. 所属分类:文档资料

  1. 简单网络管理协议SNM

    0下载:
  2. 1. 网络管理基本概念 3 2. 简单网络管理协议SNMP概述 4 2.1 SNMP的发展 4 2.2 SNMP的配置 5 3. 管理信息库MIB 6 4. SNMP的5种协议数据单元 8 5.管理信息结构SMI 11 6. SNMPv2协议 12 6.1 SNMPv2标准中的安全机制 14 6.2 SNMPv2 Party 14 6.3 SNMPv2协议操作 15 7. SNMPv3的体系结构 15 8. 公共管理信息CMIP 17 9. SNMP与CMIP的比较 1
  3. 所属分类:文档资料

    • 发布日期:2009-03-07
    • 文件大小:982016
    • 提供者:guyanzhao11
  1. PCI BIOS SPECIFICATION

    0下载:
  2. 1. Introduction ............................................................................................................... 1 1.1. Purpose ....................................................................................................... 1
  3. 所属分类:文档资料

  1. 单片机电子智能抢答器

    0下载:
  2. 采用静态显示,显示器由9个共阳极数码管组成。方案一:使用液晶屏显示时间。液晶显示屏(LCD)具有轻薄短小、低耗电量、无辐射危险,平面直角显示以及影像稳定不闪烁等优势,可视面积大,
  3. 所属分类:文档资料

  1. 电话拨号音与识别

    2下载:
  2. 音频分析软件又一次升级为10.0版本,采用最新的采用离散傅立叶变换Goertzel算法,效果更好!通过电话,手机免提时的按键发出的DTMF信号。能够通过电话号码的录音wav,分析按键DTMF信号对应的按键0-9、#、*的识别。通过最新技术和语音识别技术解码,能够完美的呈现出音频文件中电话号码发声的按键顺序。系统也可以实时的通过麦克风或音频输入设备识别按键解码,是相关需求必选电话声音解码软件. 主要用于专门用于分析WAV文件中的双音频号码。可用于电话,手机录音分析,由此确定拨出的号
  3. 所属分类:文档资料

  1. 红帽子9安装说明

    0下载:
  2. 所属分类:技术管理

  1. 上海市城域网技术实施方案

    0下载:
  2. 目 录 1. 网络结构设计 1 1.1. 城域骨干网B平面组网结构 1 1.2. 宽带接入网组网方案 2 1.2.1. 市区关键业务子网 3 1.2.2. 郊区关键业务子网 3 2. 路由设计 5 2.1. 总体路由策略 5 2.1.1. 城域网B平面设立私有AS,与CN2及CHINANET不直连(MPLS VPN 可以直接连接CN2 SR) 6 2.2. IGP方案 7 2.3. BGP方案 8 2.4. MPLS VPN的CE-PE路由设计 10 3. L3 MPLS
  3. 所属分类:其它文档

    • 发布日期:2010-10-21
    • 文件大小:3515472
    • 提供者:lm197801
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 46 »
搜珍网 www.dssz.com