CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - VHDL 计数器

搜索资源列表

  1. VHDLKEYBOARD

    0下载:
  2. 此模块用 VHDL 硬件描述语言来实现,对键盘设计的实际操作检验表明,此模块响应迅速、识别准确,较好地实现了键盘扫描和去抖动功能, 达到了预期的设计目的。同时,将状态机、扫描线、计数器等相关参数稍作改动,就可以扩展到实现不同键盘矩阵的设计-VHDL hardware descr iption language to achieve the keyboard design of the actual operation of testing show that This module in res
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:62968
    • 提供者:章菁
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. VHDL设计的相关实验,包括4位可逆计数器

    0下载:
  2. VHDL设计的相关实验,包括4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现。-VHDL design of experiments, including four reversible counters, four reversible binary code- Gray code converter design, the sequence detector design, the ROM-based sine w
  3. 所属分类:软件工程

    • 发布日期:2016-01-27
    • 文件大小:49152
    • 提供者:张联合
  1. stx_cookbook.zip

    1下载:
  2. Altera公司高端FPGA高级综合指导手册,包括:算术运算单元,浮点处理技巧,数据编码格式转换,视频处理,仲裁逻辑,多路选择,存储逻辑,计数器,通信逻辑,循环冗余校验,随机和伪随机函数,加密和同步等编码风格和技巧;,advanced synthesis cookbook for Altera high-end FPGA(Stratix),incuding coding style and design tricks for arithmetic,floating points oper
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:962193
    • 提供者:刘易
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. VHDL

    0下载:
  2. eda课程,包括数码管显示,可变步长计数器的编写-eda courses, including digital display, variable-step preparation of counter
  3. 所属分类:File Formats

    • 发布日期:2017-04-27
    • 文件大小:384731
    • 提供者:lxc
  1. 8-jinzhi-counter

    0下载:
  2. 8进制计数器 每计数八次进一次位,vhdl语言的基础程序,对初学者很有帮助-8 binary counter into a bit of each of eight counts, vhdl language based program, very helpful for beginners
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:643
    • 提供者:zhaohong
  1. 10-jinzhi-counter

    0下载:
  2. 10进制计数器 每计数十次有一个进位,是vhdl编程的基础程序,应用于fpga cpld可编程逻辑器件-Total scores of 10 binary counter has a per carry, is the basis for vhdl programming procedures used in programmable logic devices fpga cpld
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:638
    • 提供者:zhaohong
  1. 15-jinzhi-counter

    0下载:
  2. 15进制计数器 每计数十五次有一个进位,是vhdl编程的基础程序,应用于fpga cpld可编程逻辑器件-Fifth decimal counter 15 counts each have a carry, is the basis for vhdl programming procedures, programmable logic devices used in fpga cpld
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:651
    • 提供者:zhaohong
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理,并将数据传回FPGA进行相位差计数累加、测量运算,最后送显
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:1366795
    • 提供者:张学仁
  1. counter

    0下载:
  2. vhdl语言做的4位可逆计数器和格雷码转换器,包括具体代码和仿真结果-vhdl language do four reversible counter and Gray code converter, including a specific code and simulation results
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:115419
    • 提供者:张瑞萌
  1. counter

    0下载:
  2. 用VHDL设计一个带加减功能的同步计数器-VHDL design a synchronous counter with addition and subtraction functions
  3. 所属分类:File Formats

    • 发布日期:2017-11-23
    • 文件大小:156672
    • 提供者:柠羽
  1. VHDL

    0下载:
  2. 基本时序电路的VHDL描述 ,计数器的VHDL设计 ,组合电路的VHDL描述 以及后面的习题-Basic timing circuit VHDL descr iption, VHDL counter design, VHDL descr iption of combinational circuits and subsequent exercises
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:1266176
    • 提供者:贺凯雄
  1. VHDL_design

    1下载:
  2. 本综合实验包括节拍脉冲发生器、键盘扫描显示和八位二进制计数器三个模块。采用VHDL语言为硬件描述语言,Xilinx ISE 10.1作为开发平台,所开发的程序通过调试运行验证,初步实现了设计目标。-This includes comprehensive experimental beats pulse generator, display and keyboard scan eight binary counter three modules. Using VHDL as the hardwar
  3. 所属分类:software engineering

    • 发布日期:2017-03-24
    • 文件大小:90771
    • 提供者:陈芳
  1. danweitaichufaqi

    0下载:
  2. 用VHDL实现了单稳态触发器的计数器功能,配合单稳态触发器中的D触发器可以实现单稳态触发器功能。-Using VHDL realize counter function of monostable trigger, D trigger monostable trigger monostable trigger function can be achieved.
  3. 所属分类:Software Testing

    • 发布日期:2017-04-04
    • 文件大小:1058
    • 提供者:maobu
  1. cny24

    0下载:
  2. 24进制加法计数器适用于vhdl和quartus-24 binary adder vhdl counter applied and quartus
  3. 所属分类:File Formats

    • 发布日期:2017-04-10
    • 文件大小:1107
    • 提供者:流火
  1. scan_led3

    0下载:
  2. 用VHDL语言写的数码管扫描电路。压缩包中还包括多路复用器、译码器和计数器。-VHDL language used to write the digital scanning circuit. Compressed package also includes multiplexers, decoders and counters.
  3. 所属分类:Project Design

    • 发布日期:2017-04-23
    • 文件大小:499386
    • 提供者:罗克
  1. EDA_frequency

    0下载:
  2. 非常好的程序,VHDL写的测频程序。适合做测频测相,多功能计数器。适合电赛的学生下载-Very good program
  3. 所属分类:software engineering

    • 发布日期:2017-05-14
    • 文件大小:3428285
    • 提供者:发哥
« 12 »
搜珍网 www.dssz.com